H.J.Tiziani, University of Stuttgart · 2010. 11. 9. · Advanced Optics by Aspherical Elements...

59
Advanced Optics by Aspherical Elements Processing and Metrology of aspheric Surfaces H.J.Tiziani, University of Stuttgart Content Introduction, Historical Background Processing of aspheric surfaces Metrology of aspheric surfaces Summary and Future trends 1

Transcript of H.J.Tiziani, University of Stuttgart · 2010. 11. 9. · Advanced Optics by Aspherical Elements...

  • Advanced Optics by Aspherical Elements

    Processing and Metrology of aspheric SurfacesH.J.Tiziani, University of Stuttgart

    Content

    Introduction, Historical Background

    Processing of aspheric surfaces

    Metrology of aspheric surfaces

    Summary and Future trends

    1

  • Advanced Optics by Aspherical Elements Processing

    Considerable progress has been made the last 30 years

    Timeline 1638 Decartes; Shape copying machine principle

    1920Mackensen Realisation of Shape copying

    1976 Jones, Aspen, Bajuk; Computer Controlled Polishing

    1976 Computer controlled grinding

    1850 Manual polishing of aspheres

    1980s Fluid Jet, MRF, IBF; Correction

    1

    Progress in generating and polishing processes (Historical)H.J.Tiziani

    2

  • Principle of generating orpolishing spherical surfaces

    Principle of a copy machine for aspheres

    Grinding and Polishing of aspherical mirrors

    Advanced Optics by aspherical Elements Processing

    Grinding and Polishing of Aspheric Surfaces3 H.J.Tiziani

  • Generating Polishing/ smoothing Local correction

    MetalIR material

    Diamond turning

    GrindingMilling

    CCP

    Fluid jet

    Pitch polishing

    Speed polishing

    MRF

    IBF

    Fabrication

    Classical Hybrid Moulding

    Different fabrication processes

    4 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Processing

    Diamond turning Precision grinding Zonal polishing Precision optics moulding

    Some manufacturing technologies5 H.J.Tiziani

  • Advanced Optics by aspherical Elements Grinding

    Disc wheel grinding

    The disc-shaped grinding tool is moved with precise contour-controlled axes (2 linear) to generate the aspherical surface.

    6 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Grinding

    Cup wheel grinding

    The cup-shaped grinding tool is tilted with a constant angle to the surface normal. With this angle a point contact between tool and lens is achieved and the aspherical surfaceis generated with precise contour-controlled axes (1 swivel and 2 linear).

    7 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Grinding

    Roughness control by Grinding8 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Computer controlled processing

    Principle and set-up of one of the first computer controlled grinding machinesbuilt by Zeiss (courtesy Carl Zeiss)

    9 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Computer controlled polishing

    dtds

    ALCp

    dtdz

    ⋅⋅=

    where

    Thickness change over time or removal rate [m/s];

    Cp: Preston coefficientL: Load = Total normal force [N]; A: Surface area, where the removal takes place [m²]

    : Relative velocity of work piece to tool [m/s]dtds

    Polishing:The removal of material by polishing is a function of tool pressure,therelative velocity between tool and optical element and the polishing time.

    Removal by polishing :Preston equation(1927)

    10 H.J.Tiziani

  • ≈ few µm

    work pieceFilled with slurry

    grain

    Polishing pad

    ≈ few µm

    work pieceFilled with slurry

    grain

    Polishing pad

    cracks

    brittle mode

    surface

    plastic zone

    diamond

    cracks

    brittle mode

    surface

    plastic zone

    diamondbrittle mode

    surface

    plastic zone

    diamond

    R

    c(x): tool function

    x

    nominal shape

    real shape

    R(x)

    0 x

    Corrective Polishing(CCP) SmoothingGenerating

    ( ) ( ) ( )∫ −= ''' dxxsxxcxRTool function Real form measured

    Desired removal

    Computer controlled Form polishing11 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Corrective pol.

    Final Form Polishing Process12 H.J.Tiziani

  • Advanced Optics by Aspherical Elements

    Zonal polishing

    13 H.J.Tiziani

  • Advanced Optics by Aspherical Elements CCP

    tool

    optical element

    Computer controlled polishing process of the NTT ESO 3.5m mirror (left). Principle of the CCP process (right).

    14 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Corrective polishing

    Principle structure of ALPS Courtesy Schwarzhans Swiss Optic

    15 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Corrective polishing

    Form Corrective Polishing

    Computer suported ultraprecision finishing of aspheric surfaces with Zonal Polishing is iterative because of variations of the tool function but can be economical by appropriate metrology.

    Fluid jet, Magneto Reological Finishing or Ion beam correction polishing show no tool wear. The patented method of Magneto Rheological Finishing (MRF) has beeninvented in the late 80s in Minsk/Belarus. It has been further developed by a team around W. Kordonski and the Center for Optics Manufacturing (COM) in Rochester/USA for a deterministic fabrication of optical surfaces in particular aspheres). In 1998, QED Technologies introduced the first commercial product using MRF. The complete process chain with MRF for a production of aspherical surfaces was first presented in 2000 at Optatec in conjunction with Schneider Optic machines.

    16 H.J.Tiziani

  • Principle of the MRF Process. The material is removed by the sheared MR fluid (W. Kordonsky, QED Technologies)

    Advanced Optics by Aspherical Elements MRF Process

    17 H.J.Tiziani

  • Advanced Optics by Aspherical Elements IBF

    Principle of Ion Beam Figuring (IBF)(left). Outside view of an IBF System (right).

    18 H.J.Tiziani

  • Guiding cylinder

    spacer

    Precision gob

    MasterInjection molding

    Hot embossing

    Injection embossing

    Different kinds of plastic moulding (left), the blank press method for glass (right)

    Moulding temperature

    Moulding method

    Mould material

    Advanced Optics by Aspherical Elements Moulding

    19 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Micro lens fabrication

    Wafer-based microlens manufacturing technology

    Reactive ion etching (RIE) transfer process of resist micro lenses in fused silica. A correction of the lens slope is obtained by changing the etch rate between the resist and fused silica during the etching process.

    20 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Metrology for optical systems

    Metrology

    Measuring principles for optical system performance

    •Optical Transfer Function (OTF), The Modulation Transfer Function, MTF, as well as the a phase transfer function PTF•Interferometry•Wavefront Sensor such as the Shack-Hartmann wavefront sensor.•Foucault Test •The star test is used in astronomy and microscopy; the image of a pinhole is analysed.•Polarization properties are useful for stress analysis in the material for instance or stress induced by cementing or mounting of lenses or crystalline optical materials used for very short wavelengths •Transmission and stray light measurement.

    21 H.J.Tiziani

  • Punktbild Hubble-Weltraumteleskop

    Reason for limited resolution:Enlarged spread function (point image)

    Hubble-Telescope

    With sphericalAberration

    WithoutSph. Ab.

    Advanced Optics by Aspherical Elements Spread function/OTF

    22 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Surface classification

    Form ( shape), lateral dimension

    Waviness, Defects,

    Roughness,

    mm1≥Λ

    mmm 120 ≤Λ≤µ

    mµ20≤Λ

    Surface Classification and Surface Measurement

    23 H.J.Tiziani

  • Metrology for optical surfaces

    Surface form and roughness measurement of grinded and lapped surfaces •Stylus instrument

    •Confocal instrument

    •White light interferometry

    Surface form measurement of polished optical surfaces•Interferometry is the best known and the most precise measuring technique for measuring the surface form.•The Shack-Hartmann wavefront sensor is an alternative•A stylus instrument is an another technique for measuring individual surface forms•Confocal instrument (scanning)•White light interferometry (scanning) •Deflectometry •Polarization optical methods

    Roughness and waviness measurement of polished surfaces

    •Stylus instrument ,White light interferometry, Confocal.

    •Atomic force instrument

    •Scattering: ARS, TIS24 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Taktile measurement

    Diamond tip in tactile measurements

    25 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Point measurement, Foc. Det.

    White light Interferometer

    Mirau-Objective 50 x

    Measuring field 0,384 x 0,286 mm²

    NA (Objective) 0,55

    Working distance ca. 0,5 mm

    Lateral Resolution ca. 1 µm

    z-Resolution 10 nm

    0 1 2 3 4 5

    Z [µm]

    100

    150

    I

    Lightsource

    Referencemirror

    Object

    Tubuslens

    Partly reflectingmirror

    Mirau-objective

    Camera

    Lightsource:•White light•LED•Superlum. diode•Laserdiode (antireflex)

    26 H.J.Tiziani

  • PinholeBeamsplitter

    f

    I

    z

    z

    Object

    z=f

    αλ

    cos.FWHM

    −⋅

    =1450

    α

    FWHM

    Advanced Optics by Aspherical Elements Point measurement, Foc. Det.

    Pointdetector

    Principle of Confocale Measurement27 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Surface characterization by Power Spectral Density, PDS

    Micromap 2,5-fach

    Micromap 20-fach

    AFM

    Micromap 2,5-fach

    Micromap 20-fach

    AFM

    Power spectral density plot and related surface topography for a diamond turned master.The characteristic structures from diamond turning are clearly visible in the PSD plot

    28 H.J.Tiziani

  • Topography, 5µm*5µm500 * 500 pixeltip radius < 5nm :

    Roughness:0.34nm RMS

    2D-Fourier Transforme :Spatial Frequency Spectrum

    Spatial Frequency Filtered,Low-Pass : |kgr| = 1/λ | λ=500nm

    kx /µm-1

    k y/µ

    m-1

    Fourier-filteredTopography :

    Roughness0.18nm RMS

    0

    0

    25

    -25 25

    Influence of the low pass filter on the roughness measurement

    29 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Surface characterization , Roughness measurement, ARS

    Schematic of operation for microstructure testing(Duparre)30 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Surface measurement methods

    50 mm – 2m4 - 80,01 mm – 1 mmMacroscopic Fringe Projection

    o.1mm-100mm0.1nm-10nmScattering

    scanningpointwise0.05nm-0.1nmAtomic force microscope

    1mm-100mmscanning speed0.1mm/sec0.5nm-400nmStylus instrument

    1mm - 30 mm4 - 80,1 µm - 10 µmMicroscopic Fringe Projection

    50 µm - 5 mm100 - 10001 nm -10 nmWhite Light Interferometry

    1 µm – 30 mmparallel proc50 - 20010nm - 10 µm

    Confocale Principle (Microscope)

    Diameter of Measuring field

    Camera Images inpixels(typical)

    Height Resolution(typical)

    Measuring Principle

    Comparison of 3D-microscopic surface measuring methods 31 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Interferometry for surface Form measurement

    Laser

    M=F‘

    KS Obj.

    PS

    Camera

    TPL3

    L2

    L1

    Twyman Green interferometer for system or surface testing with typical fringe patterns

    32 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Interferometry for Form measurement (asph.surface)

    Element under Test

    Beamsplitter

    Beamsplitter

    Mirror

    Mirror

    ΣRef+ ΣObj

    ΣObj

    Adaptive Optics

    ΣRef

    Master

    Comparison Measurement using Mach Zehnder- Interferometer

    33 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Aspheric surface Form measuring

    Problem:

    • Wavefront departure from a best fit sphere leads to high local fringe density in the interferogram

    Reason:

    • slope of aspheric wavefront

    Effect:

    • Interferogram cannot be unwrapped• (Violation of Nyquist-theorem)• Vignetting and caustic of the wavefront

    Moiré 1.Order

    Interferogram

    Problems by Testing Aspherical Surfaces

    34 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Form measurement of AS

    Methods for Testing Aspheric Surfaces

    Wavefront shaping systems + null test + partial compensation

    staticdiffractive

    refractive

    adaptivemembrane mirror

    LCD

    dynamic subapertures

    dynamic microlens array

    static microlens array

    Multiple wavelength interferometry

    (Moiré techniques)

    Shack-Hartmann sensor

    Alternative methods

    Other methods: Stilus instruments(pointwise), Stitching(lateral, along opt.axis),Deflectometry

    35 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Interferometry for Form measurement of AS

    Reference

    Asphereunder test

    CGH

    CCD

    Objective

    Spatial filter

    Reference

    Aspheric system under test

    CGH

    CCD

    Spatial filter

    Testing aspherical surfaces, AS, and systems with Null lens(CGH)

    Test of refractive Null lens with CGH in reflection

    Testing an aspherical surface in reflection; CGH in transmission

    36 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Null lens testing

    PV = 133,1 nm / RMS = 21,5 nm

    -100 -50 0 50 100

    x [mm]

    -100

    -50

    0

    50

    100

    y [m

    m]

    -20020406080

    [nm

    ]

    PV = 53,9 nm RMS = 16,5 nm

    -100 -50 0 50 100

    x [mm]

    -100

    -50

    0

    50

    100

    y [m

    m]

    010203040

    [nm

    ]

    PV = 126,9 nm RMS = 13,6 nm

    -100 -50 0 50 100

    x [mm]

    -100

    -50

    0

    50

    100

    y [m

    m]

    -60-40-200204060

    [nm

    ]

    Refraktives K-System

    CGHRefractivecompensationsystem

    CGH: νmax = 500 Lp/mmpmin = 2 µmd = 220 mm

    CGH as Aspheric Master (Null Mirror)

    37 H.J.Tiziani

  • Advanced Optics by Aspherical Elements CGH as Null lens

    Parameters to be considered in CGH-Null Testing– Interferometer type and CGH Position – Chrome on glass vs. Phase type CGH

    (Diffraction efficiency, Setup: application in single/double reflection/pass?)

    – Inline vs. Off axis CGH (Separation of diffraction orders)

    – CGH Specification and Feasibility(Wavefront aberration caused by a patterndistortion error depends on spatial frequencyin the CGH)

    CGH Design

    38 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Interferometry with CGH

    Procedure for testing aspheric surfaces

    39 H.J.Tiziani

  • CGH-null: νmax = 35 Lp/mmpmin = 29 µmd = 86 mm

    PV = 450,5 nmRMS = 79,7 nm

    Alignment-CGH: νmax = 500 Lp/mmpmin = 2 µm

    Testing of an asphere

    Advanced Optics by Aspherical Elements Inerferometry with CGH

    CGH as Null-Lens

    40 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Interferometry with CGHAberrations caused by

    pattern distortion

    -20 -10 0 10 20

    x [mm]

    -20

    -10

    0

    10

    20

    y [m

    m]

    -100

    -50

    0

    50

    100

    [nm

    ]

    1. Distortion of the hologrampattern

    → Writing errors

    2. Surface figure errors of theCGH-substrate:

    → Surface figure errors, flexure

    Surface figure errorsof a chrome blank

    -40 -20 0 20 40

    x [mm]

    -40

    -20

    0

    20

    40

    y [m

    m]

    -150-100-50050

    [nm

    ]

    CGH-Aberrations

    41 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Interferometry with CGH

    Cross –Comparison with different CGH‘s

    42 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Interferometry with CGH

    Calibration

    43 H.J.Tiziani

  • Advanced Optics by Aspherical Elements CGH generation

    Technical data wavelength of exposition 488 nm intensity of exposition < 20 M W /cm 2 diam eter of substrate < 290 m m thickness of substrate < 24 m m spot size 0.8 µm resolution (radia l) 80 nm resolution (azim utal) 0.1"

    CGH generation with a Photoplotter for testing aspheric surfaces

    44 H.J.Tiziani

  • Advanced Optics by Aspherical Elements CGH Typ

    Amplitude CGH

    Phase CGH

    Copying Technique and Etching process45 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Form measurement

    Alternative Methods

    • Wavefront adaption with membrane mirrors

    • Wavefront adaptation with adaptive optics(liquid crystal displays)

    • Multiple Wavelengths Interf.

    • Shack Hartmann (adaptive)

    • Stitching lateral and axial (Verifire Zygo)

    • Reflectometry

    • Pointwise measurement (scanning)

    46 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Deform. mirror

    Wavefront adaptation in Astronomy by deformable Mirror47 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Wavefront adaptation

    • continuous shape• high reflectivity, dielectric

    coatings possible(even used in laser resonators)

    • fast (switching times below 1 ms)

    Examples for electrode layouts

    Hexagonal Structur Ringshaped Structur

    Membrane Mirror48 H.J.Tiziani

  • λ/4pol. BS HVamp.

    .Transmission sphere-

    Aspheric surfaceunder test

    CCD

    Reference

    HeNe

    telescope

    TGI Setup

    Membranemirror

    Asphärische WellenfrontdeformationenMembranspiegel #1

    -1,0 -0,5 0 0,5 1,0rel. Apertur

    -40

    -20

    0

    z [µ

    m]

    Asphärische WellenfrontdeformationenMembranspiegel #1

    -1,0 -0,5 0 0,5 1,0rel. Apertur

    -40

    -20

    0

    z [µ

    m]

    Asphärische WellenfrontdeformationenMembranspiegel #1

    -1,0 -0,5 0 0,5 1,0rel. Apertur

    -40

    -20

    0

    z [µ

    m]

    Advanced Optics by Aspherical Elements Wavefront adaptation

    Wavefront Adaptation by a Membrane Mirror49 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Multi wave lengths Interferometry for Form measurement

    Two wave lengths Interferometry

    λ 1 λ 2

    λ λ λλ λ

    µsyn m= −=1 2

    2 1

    66 7| |

    ,

    822 nm

    0 200 400 600 800X

    0

    200

    400

    600

    800

    Y

    0

    1E-5

    2E-5

    3E-5

    4E-5

    5E-5

    6E-5

    812 nm precompensation

    asphere

    LD2 812 nm

    LD1 822 nm

    monomode-fiber

    piezo-translator

    membranemirror asreference

    digital camera

    50 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Adaptive optics for Shack Hartman Sensor

    Shack Hartmann Sensor

    Shack Hartmann Sensor measures the slopeof the wave front

    Some limitations- are due to :

    •Ambiguity, when the spot depasses the corresponding pixel

    •Deformation or defocusing of the spot due to curvatures of the wavefront across the microlens used (large aberrations)

    A solution is the use of adaptive microlenses

    51 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Shack Hartmann Sensor

    principle:

    Local wavefront slope:

    f

    dy dx

    dy1δ/δx W(x,y) =fδ/δy

    Accuracy scales with f

    Wavefront reconstruction by

    • direct integration of wavefront slopes

    • least square methods for error minimization

    Principle of Shack Hartmann Sensors

    52 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Shack Hartmann sensor for AS

    extreme slopes:

    spots leave target areas,ambiguity occurs

    extreme curvatures:

    aberrated spots not suitablefor accurate determinationof positions

    Problems with extreme wavefront shapes

    53 H.J.Tiziani

  • programmablehigh resolution

    LCD

    replacedby

    Advanced Optics by Aspherical Elements Active Adaptive optics

    static, diffractivemicrolens array

    Dynamic microlenses generated by LCDs

    54 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Shack Hartmann with adaptive optics

    accuratedeterminationof spotpositionsImpossiblewithout localab.correction

    Measurement with consideration of local wavefront aberrations:Object under test:progressive lens with an addition of 3dpt.55 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Adaptive optics for tilted wavefronts

    Detail for generating the tilted reference wave and the phase shifting

    Principles of dynamic reference or object beam

    56 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Interfermetry with tilted wavefronts

    Single phase measurements of a defocused reference surface with5x5 tilts(left), the result after unwrapping of 5x5 interferograms (right)

    57 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Deflectometry

    Fringe-Projector

    Groundglass

    Aspheric Surface(Progressive Eyeglass)

    DeformedFringe pattern

    CCD-Camera

    Deflectometry used for testing Progressive Eyeglasses

    58 H.J.Tiziani

  • Advanced Optics by Aspherical Elements Processing and Metrology

    Summary and Conclusion•A lot has been invested in machines and processing lately for high precision and economic production of spherical and aspherical surfaces.

    •Computer supported processing together with process simulation and

    Correction has been and will be further improved

    •Precision manufacturing is only possible if the quality can be measured. In particular, metrology for Form measurement of aspheric surfaces needs to be further improved. Today, metrology is a cost driver.

    •Aspheric surfaces will be used more frequently when the cost of an aspheric surface is not much higher than twice the cost of a spherical surface.

    •In process metrology is required. New techniques are or will be developed. Some possibilities were discussed at least in principle.

    •In future system performance may be improved by an aspheric corrector surface.

    •Care needs to be taken in the mounting because aspherical surfaces and systems are usualymore sensitive to centring (tilt)59

    H.J.Tiziani

    Punktbild Hubble-WeltraumteleskopWhite light InterferometerProcedure for testing aspheric surfacesCross –Comparison with different CGH‘sCalibrationMembrane MirrorWavefront Adaptation by a Membrane MirrorTwo wave lengths InterferometryShack Hartmann Sensor