GUANG R. GAO

40
GUANG R. GAO University of Delaware Department of Electrical and Computer Engineering 140 Evans Hall Newark, DE 19716 Tel: (302) 831 8218 Fax: (302) 831 4316 [email protected] http://www.capsl.udel.edu/~ggao/ EDUCATION PhD Degree in Electrical Engineering and Computer Science Massachusetts Institutes of Technology, August 1986. Member of Computational Structures Group at Laboratory of Computer Science, MIT, June 1982 to August 1986. MS Degree in Electrical Engineering and Computer Science Massachusetts Institutes of Technology, June 1982. BS Degree in Electrical Engineering Tsinghua University, Beijing. PROFESSIONAL EXPERIENCE University of Delaware Newark, DE, USA. Endowed Distinguished Professor, Department of Electrical and Computer Engineering, effective from Sept. 1 st , 2005. University of Delaware Newark, DE, USA. Professor, Department of Electrical and Computer Engineering, Sept. 1996 – Present. Founder and leader of the Computer Architectures and Parallel Systems Laboratory (CAPSL). McGillUniversity Montreal,Canada Associate Professor, School of Computer Science, Jun. 1992 --- Aug. 1996. Assistant Professor, School of Computer Science, Aug. 1987 --- Jun. 1992. Founder and leader of the Advanced Compilers, Architectures and Parallel Systems Group (ACAPS) at McGill since 1988. Center of Advanced Studies, IBM Toronto Lab Aug. 1993 --- Jun. 1994. Visiting scientist with a NSERC Senior Industrial Fellowship.

Transcript of GUANG R. GAO

Page 1: GUANG R. GAO

GUANGR.GAO

UniversityofDelawareDepartmentofElectricalandComputerEngineering

140EvansHall Newark,DE19716Tel:(302)8318218Fax:(302)8314316

[email protected]://www.capsl.udel.edu/~ggao/

EDUCATION

PhD DegreeinElectricalEngineeringandComputerScience MassachusettsInstitutesofTechnology,August1986.MemberofComputationalStructuresGroupatLaboratoryofComputerScience,MIT,June1982toAugust1986.

MS DegreeinElectricalEngineeringandComputerScience

MassachusettsInstitutesofTechnology,June1982.

BS DegreeinElectricalEngineeringTsinghuaUniversity,Beijing.

PROFESSIONALEXPERIENCE

UniversityofDelawareNewark,DE,USA.EndowedDistinguishedProfessor,DepartmentofElectricalandComputerEngineering, effectivefromSept.1st,2005.

UniversityofDelaware

Newark,DE,USA.Professor,DepartmentofElectricalandComputerEngineering,Sept.1996–Present.Founder and leader of the Computer Architectures and Parallel SystemsLaboratory (CAPSL).

McGillUniversity

Montreal,CanadaAssociateProfessor,SchoolofComputerScience,Jun.1992---Aug.1996.AssistantProfessor,SchoolofComputerScience,Aug.1987--- Jun.1992.FounderandleaderoftheAdvancedCompilers,ArchitecturesandParallelSystemsGroup (ACAPS)atMcGillsince1988.

CenterofAdvancedStudies,IBMTorontoLab

Aug.1993---Jun.1994.VisitingscientistwithaNSERCSeniorIndustrialFellowship.

Page 2: GUANG R. GAO

PhilipsResearchLaboratoriesSept.1986---Jun.1987.BriarcliffManor,NY,US.SeniormemberofresearchstaffoftheComputerArchitectureandProgrammingSystemsGroup.Playedamajor role in foundingamultiprocessor systemproject, and researchinparallelizingcompilers.

MassachusettsInstitutesof

TechnologyJun.1994---Aug.1994Visiting Professor(Sabbatical) Jun.1980---Aug.1986MemberoftheComputationalStructuresGroupattheLaboratoryofComputerScience,MIT.ParticipatedintheMITStaticDataflowArchitectureProjectandotherprojects.Proposedanovelmethodologyoforganizingarrayoperationstoexploitthefine---grainparallelismofdataflowcomputationmodels.Developedauniquepipelinedcodemappingschemefordataflowmachines(laterknownasdataflowsoftwarepipelining).

CURRENT RESEARCH AREAS

• HighPerformanceComputingandDataflowModels• ParallelArchitectureandSystems• Runtimesystemsandcompilers• Programmappingandoptimizationunderdataflowmodels

PROFESSIONALMEMBERSHIPS

• MemberofIEEE/CS• MemberofACM/SIGARCH• MemberofACM/SIGPLAN.

NATIONAL AND INTERNATIONAL RECOGNITION

• ACMFellow• IEEEFellow• EndowedNamedProfessorofElectricalandComputerEngineeringatUniversityofDelaware• CCF(ChineseComputerFederation)OutstandingAchievementAwardofOverseeScholars(One

awardeeperyear)• Chairmanshipofacollectionofwellrecognizedinternationalconferencesin

computer/informationsciencesandengineering(seelist).• InvitedasaKeynotesSpeakerofanumberofrecognizedinternationalconferences

Page 3: GUANG R. GAO

CITATIONS AND SPECIAL APPOINTMENTS

• CitationfromIEEEFellowship:Forcontributionstomultiprocessorcomputersandcompileroptimizationtechniques.

• CitationsfromACMFellowship:Forcontributionstoarchitectureandcompilertechnologyofparallelcomputers.

• Gao’spublicationshavebeencitedwidelyinhisfieldvisibleviaGoogleScholarcitationindices.Gao’sworkhasattractedworldinhisresearchdomain.

• GaoheldhonorablespecialvisitingprofessorshipsinseveraluniversitiesinChina–especiallytheprestigiousTsinghuaUniversity.

CONFERENCECOMITEECHAIRMANSHIP(Served,apartiallist)

• InternationalConferenceonParallelProcessing(ICPP)• Panel Coordinator, International Conference on Parallel Architectures and Compiler Technology

(PACT)• DataFlowExecutionModelsforExtremeScaleComputing(DFM).• Europar• WorkshoponLanguageandCompilersforParallelComputing(LCPC’)• IFIPInternationalConferenceonNetworkandParallelComputing(NPC)• InternationalWorkshoponOpenMP• InternationalConferenceonEmbeddedandUbiquitous• InternationalParallel&DistributedProcessingSymposium(IPDPS)• InternationalConferenceonHighPerformanceComputing(HiPC)• Compilers,ArchitecturesandSynthesisforEmbeddedSystems(CASES)• WorkshoponPetaflopComputing• MultithreadedArchitectureWorkshop,inConjunctiontoHPCA

JOURNALEDITORSHIP(served,apartiallist)

• EditorialBoardofJournalofChineseComputerResearchandDevelopment• EditorialBoardoftheJournalofEmbeddedComputing• EditorialBoardoftheInternationalJournalofHighPerformanceComputingandNetworking• ParallelProcessingLetters• EditorialBoardofIEEETransactionsonComputers• EditorialBoardofIEEEConcurrencyJournal• EditorialBoardoftheJournalonProgrammingLanguage• IEEETransactiononComputers• JournalofParallelandDistributedComputing

Page 4: GUANG R. GAO

PROGRAM COMMITTEE MEMBERS OF RECOGNIZED INTERNATIONALCONFERENCES(served,partiallist)

• InternationalConferenceofParallelProcessing(ICPP).• International Conference on Parallel Architectures and Compiler Technology (PACT)• DataFlowExecutionModelsforExtremeScaleComputing(DFM).• IFIPInternationalConferenceonNetworkandParallelComputing(NPC)• InternationalWorkshoponLanguagesandCompilersforParallelComputing(LCPC).• InternationalSymposiumonCodeGenerationandOptimization(CGO).

• InternationalWorkshoponOpenMP(IWOMP)• ProgrammingLanguageDesignandImplementation(PLDI)

• MulticoreandGPUProgramming Models,LanguagesandCompilersWorkshop(PLC).• ACMSIGPLANAnnualSymposiumonPrinciplesandPracticeofParallelProgramming

(PPoPP)• WorkshoponMultithreadedArchitecturesandApplications(MTAAP)• IFIPWorkingConferenceonDistributedandParallelEmbeddedSystems(DIPES)• WorkshoponProgrammabilityIssuesforMultiCoreComputers(MULTIPROG)• International Conference onHigh---Performance EmbeddedArchitecture and

Compilation (HiPEAC).• ACMComputingFrontiers(CF)

• Asia-PacificComputerSystemsArchitectureConference(ACSAC).• ACM/IEEE International Conference for High Performance Computing and

Communications (SC).• ACM/IEEEInternationalWorkshoponHigh---LevelParallelProgrammingModelsand

Supportive Environments (HIPS).• ACMInternationalConferenceonSupercomputing(ICS).• IEEEInternationalParallelandDistributedProcessingSymposium(IPDPS).• FifthIEEEInternationalWorkshoponHighPerformanceComputationalBiology(HiCOMB).• ACMInternationalSymposiumonParallelArchitectures,Algorithms,andNetworks(I-

SPAN) • ACM/IEEEInternationalSymposiumonMicroarchitectures(MICRO).• HighPerformanceComputingSymposium(HPCS).• InternationalConferenceonParallelProcessing(EUROPAR).• Compilers,ArchitecturesandSynthesisforEmbeddedSystems(CASES).• IEEEInternationalSymposiumonHighPerformanceComputer(HPCA).• InternationalConferenceonCompilerConstruction(CC)• WorkingConferenceonMassivelyParallelProgrammingModels(MPPM)• InternationalSymposiumonHighPerformanceComputing(ISHPC)• InternationalParallelProcessingSymposium(IPPS)• IEEE International Conference on Algorithms and Architectures for Parallel

Processing (ICAPP)• ParallelArchitectureandLanguageEurope(PARLE).

Page 5: GUANG R. GAO

PANELOFINTERNATIONALCONFERENCESANDMEETINGS

Invitedasapanelchairorapanelistofmanyinternationalconferencesandmeetings.

INVITED SEMINARS AND DISTINGUISHED SEMINARS (Partial)

GivenseminarsinmanyindustrialandacademicorganizationsinUSAandCanada

• IBMT.J.WatsonResearchCenter

• IBMAmadanResearchCenter

• IBMSantaTeresaLab.

• IBMTorontoLab,

• AT&TBellLaboratories

• BNR

• HPLabs

• Intel

• SGI

• DEC

• QualComm

• NRL(NavyResearchLab.)

• JPL(JetPropulsionLaboratory)

• ANL(ArgonneNationalLab)

• SandiaNationalLaboratory

• OakRidgeNationalLaboratory

• NASAAmesResearchCenter

• PNNL(PacificNorthwestNationalLaboratory)

• MIT

• StanfordUniversity

• UCBerkeley

• NYU

• CornellUniversity

• UniversityofMaryland

• UniversityofAlberta

• UniversityofColorado

• UniversityofIllinois

• UniversityofSouthernCalifornia

• UniversityofToronto

Page 6: GUANG R. GAO

• UniversityofVictoria

• UniversityofMichigan

• RiceUniversity

• AndothresAlsogivenseminarsinmanyindustrialandacademicorganizationsinEuropeandAsia.Detailedlistomitted.

GRANTSANDOTHERREVIEW FUNCTIONS

• InvitedasNationalScienceFoundation(NSF)grantreviewpanels(manytimes)

• InvitedasanexternalreviewerofagrantreviewertoNSF---equivalentorganizationsinothercountries(Canada,England,Holland,France,etc.)

• Invitedasareviewerfortenureandotherpromotionreviewersforprofessors/scientistsbothinUSandbeyond.

• InvitedasexternalPh.DthesisexaminersbothwithinUSandinternationally(e.g.INRIA(France),ChamersUniversity(Sweden),TsinghuaUniversity(China),ChineseUniversityofScienceandTechnology(China),HuazhongUniversityofScienceandTechnology(China),etc.).

SectionA:TeachingandResearchSupervision

A.1: TEACHING

Aseriesofnewcourseshavebeenintroducedandtaughtoveryears. Thetopicsinclude:1. ComputerArchitectures

2. ParallelComputerArchitectureandSystems

3. ParallelandFunctionalProgramming

4. OptimizingandParallelizingCompilers

5. High-PerformanceComputingandBioinformatics

A. 2: RESEARCH SUPERVISION

Graduatedstudentsgraduatedundermysupervision(Apartiallist):

ThefollowingGraduatestudentsandPost---Docshavealreadycompletedtheirproposedresearchunder mysupervision:

PhDLevel(apartiallist):

1. JaimeArteagaMultigrainParallelism:BridgingCoarse-GrainParallelProgrammingAndFine-GrainEvent-Driven

Page 7: GUANG R. GAO

MultithreadingSummer2017

2. KellyLivingstonTowardsCo-DesignedEnergyEfficientComputingAndRuntimes:Simulation-FrameworkAndExperiments2016

3. SunilShresthaAframeworkforgrouplocalityawaremultithreading2015

4. RobertS.PavelSimulationMethodologyandToolsfortheDevelopmentofNovelProgramExecutionModelsandArchitecturesJune2015

5. ElkinGarciaTowardHighPerformanceandEnergyEfficiencyonManycoreArchitecturesSummer2014

6. JuergenRibutzkaConcurrencyandSynchronizationintheModernMany---CoreEra:ChallengesandOpportunitiesUniversityofDelaware(USA),2009–2013.

7. DanielOrozcoTIDeFlow:ADataflow---inspiredexecutionmodelforhighperformancecomputingprogramsUniversityofDelaware(USA),2007–2012.

8. JosephB.ManzanoAcomparisonbetweenvirtualcodemanagementtechniquesUniversityofDelaware(USA),2003–2011.

9. GeGanProgrammingmodelandexecutionmodelforOpenMPontheCyclops---64manycoreprocessorUniversityofDelaware(USA),2004–2010.

10. LongChenExploringnovelmany---corearchitecturesforscientificcomputingUniversityofDelaware(USA),2005–2010.

11. 1. FeiChenEnablingsystemvalidationforthemany---coresupercomputerUniversityofDelaware(USA),2001–2009.

12. JuandelCuvilloBreakingawayfromtheOSshadow:AprogramexecutionmodelawarethreadvirtualmachineformulticorearchitecturesUniversityofDelaware(USA),2001–2008.

13. YuanZhangStaticanalysesandoptimizationsforparallelprogramswithsynchronizationUniversityofDelaware(USA),2002–2008.

Page 8: GUANG R. GAO

14. 1. MihailoKaplarevicEnvironmentalgenomeinformationalutilitysystem(EnGENIUS)UniversityofDelaware(USA),2001–2007.

15. WeirongZhuEfficientsynchronizationforalarge---scalemulti---corechiparchitectureUniversityofDelaware(USA),2002–2007.

16. RishiLeeKhanEngineeringsystemsneuroscience:ModelingofakeyadaptivebraincontrolsysteminvolvedinhypertensionUniversityofDelaware(USA),2000–2007.

17. AlbanDouilletAcompilerframeworkforloopnestsoftware---pipeliningUniversityofDelaware(USA),2001–2006.

18. YanweiNiuParallelizationandperformanceoptimizationofbioinformaticsandbiomedicalapplicationstargetedtoadvancedcomputerarchitecturesUniversityofDelaware(USA),2001–2005.

19. RobelY.KahsayAdvancedproteinsequenceanalysismethodsforstructureandfunctionpredictionUniversityofDelaware(USA),2001–2005.

20. AndresMarquezTheCAREarchitectureUniversityofDelaware(USA),1995–2004.

21. HongboYangPower---awarecompilationtechniquesforhighperformanceprocessorsUniversityofDelaware(USA),1999–2003.

22. ParimalaThulasiramanIrregularcomputationsonfine---grainmultithreadedarchitectureUniversityofDelaware(USA),1995---2000.

23. XinanTangCompilingformultithreadedarchitecturesUniversityofDelaware(USA),1995–1999.

24. KevinBryanTheobaldEARTH:AnEfficientArchitectureforRunningThreadsMcGillUniversity(Canada),1990–1999.

25. ErikRichterAltmanOptimalsoftwarepipeliningwithfunctionunitandregisterconstraintsMcGillUniversity(Canada),1991–1996.

26. ShashankNemawarkarPerformancemodelingandanalysisofmultithreadedarchitecturesMcGillUniversity(Canada),1989–1996.

27. VugranamC.Sreedhar

Page 9: GUANG R. GAO

EfficientprogramanalysisusingDJgraphsMcGillUniversity(Canada),1990–1995.

28. GuyTremblayParallelimplementationoflazyfunctionallanguagesusingabstractdemandpropagationMcGillUniversity(Canada),1988–1994.

29. QiNingRegisterallocationforoptimalloopschedulingMcGillUniversity(Canada),1990–1993

30. HerbertH.J.HumTheSuper---ActorMachine:Ahybriddataflow/vonNeumannarchitectureMcGillUniversity(Canada),1990–1992.

31. RobertKimYatesSemanticsoftimeddataflownetworksMcGillUniversity(Canada),1988–1992.

MSLevel(apartiallist,upto2014):

1. JoshuaSuetterleinDARTS:ARuntimeBasedontheCodeletExecutionModelSpring2014

2. YaoWuMemoryOptimizationinCodeletExecutionModelonMany---CoreArchitecturesSpring2014

3. ThomasSt.JohnMassivelyParallelBreadthFirstSearchUsingaTree---StructuredMemoryModelUniversityofDelaware(USA),2007–2013.

4. JoshuaLandwehrTapestry:WeavingExecutionandSynchronizationModelsUniversityofDelaware(USA),2009–2013.

5. SunilShresthaParallelLow---OverheadDataCollectionFrameworkforaResourceCentricPerformanceAnalysisToolUniversityofDelaware(USA),2007–2012.

6. XiaomiAnMemoryStateFlowAnalysisandItsApplicationUniversityofDelaware(USA),2009–2011.

7. JuergenRibutzkaTowardasoftwarepipeliningframeworkformany---corechipsUniversityofDelaware(USA),2005–2009.

8. JonathanL.BartonHardwareimplementationofasynchronizationstatebufferinVHDLUniversityofDelaware(USA),2005–2008.

Page 10: GUANG R. GAO

9. MarkPellegriniAcasestudyoftheMstackcross---platformbenchmarkontheCrayMTA---2UniversityofDelaware(USA),2004–2008.

10. MatthewWellsUniversityofDelaware(USA),2006---2008.

11. YiJiangDesignandimplementationoftool---chainframeworktosupportOpenMPsinglesourcecompilationoncellplatformUniversityofDelaware(USA),2006–2008.

12. LongChenOptimizingtheFastFourierTransformonamany---corearchitectureUniversityofDelaware(USA),2005–2008.

13. LipingXueEfficientmappingoffastFouriertransformontheCyclops---64multithreadedarchitectureUniversityofDelaware(USA),2005–2007.

14. GeGanCDP:AmultithreadedimplementationofanetworkcommunicationprotocolontheCyclops---64multithreadedarchitectureUniversityofDelaware(USA),2004–2007.

15. EunJungParkMethodologyofdynamiccompileroptionselectionbasedonstaticprogramanalysis:ImplementationandevaluationUniversityofDelaware(USA),2004–2007.

16. DimitrijKrepisAstudyofsimulationandverificationofamany---corearchitectureontwomodernreconfigurableplatformsUniversityofDelaware(USA),2004–2007.

17. DivyaParthasarathiTowermethodologyforverificationofmulti---corearchitecture:AcasestudyUniversityofDelaware(USA),2003–2005.

18. YingPingZhangAstudyofarchitectureandperformanceofIBMCyclops64interconnectionnetworkUniversityofDelaware(USA),2003–2005.

19. VishalKarnaMultiprocessorSOCVerificationUniversityofDelaware(USA),2002–2005.

20. RobertKlosiewicsAParallelDebuggerfortheCyclopsArchitectureUniversityofDelaware(USA),2002–2004

21. InancDogruAnintegerlinearprogrammingapproachtoreduceregisterspillsonitaniumprocessors

Page 11: GUANG R. GAO

UniversityofDelaware(USA),2002–2004.

22. XingWangQuantitiveStudyofHuman---ComputerinteractioninadaptivesearchonMobileHandsetsanditsLocalizationforMandarinChinesseUniversityofDelaware(USA),2001–2004.

23. WeirongZhuMultithreadedParallelImplementationofHPMMPFAMonEARTHUniversityofDelaware(USA),2001–2004.

24. FeiChenImplementingParallelCGAlgorithmontheEARTHMultithreadedArchitectureUniversityofDelaware(USA),2001–2004.

25. YanXieCodeSizeOrientedMemoryAllocationforTemporaryVariablesUniversityofDelaware(USA),2001–2003.

26. ChuanShenAPortableRuntimeSystemanditsDerivationfortheHardwareSUImplementationUniversityofDelaware(USA),2001–2003.

27. KapilKhoslaBinaryDiffingUniversityofDelaware(USA),2001–2003.

28. TamalBasuSurvivabilityofroutingprotocolsinlargescaleclusteredfractalnetworksUniversityofDelaware(USA),2001.

29. RishiKumarEfficientParallelizationofReductionsandLoopBasedProgramsonEARTHUniversityofDelaware(USA),1999–2001.

30. PraveenThiagarajanAVisualPerspectivetoMotif/PatternAnalysisUniversityofDelaware(USA),1999–2001.

31. Juan.Del.CuvilloWholeGenomeComparisonUsingAMultithreadedParallelImplementationUniversityofDelaware(USA),1999–2001.

32. ChristopherJ.MorroneAEARTHRuntimeSystemForMulti---Processor/Multi---NodeBeowulfClusterUniversityofDelaware(USA),1999–2001.

33. AlbanDouilletRegisterStackandOptimalAllocationInstructionPlacementUniversityofDelaware(USA),1999–2001.

34. SeanRyanDevelopingasoftwaredistributedsharedmemoryfortheEARTHplatformUniversityofDelaware(USA),1999–2000.

Page 12: GUANG R. GAO

35. KamalaPrasadKakulavarapuDynamicloadbalancingissuesintheEARTHruntimesystemMcGillUniversity(Canada),1996–2000.

36. IanStuartMacKenzieWalkerTowardsaCustomEARTHSynchronizationUnitUniversityofDelaware(USA),1998–1999.

37. ChengLiEarth---SMP:multithreadingsupportonanSMPclusterUniversityofDelaware(USA),1997–1999.

38. LeiLiuTheeffectofresourcecomplexityonmoduloschedulingUniversityofDelaware(USA),1997–1999.

39. Maria---DanaTarlescuTheelastichistorybuffer:Amulti---hybridbranchpredictionschemeusingstaticclassificationMcGillUniversity(Canada),1996–1999.

40. DionisHristovDevelopmentoftestsfortheATMsignalingprotocolMcGillUniversity(Canada),1998.

41. HishamJohnathonPetryComparisonofSCderivedmemorymodelsandlocationconsistencyonsharedmemoryarchitecturesMcGillUniversity(Canada),1995–1997.

42. HaiyingCaiDynamicloadbalancingontheEARTH---SPsystemMcGillUniversity(Canada),1997.

43. RaulEstebanSilvera---MunozStaticinstructionschedulingfordynamicissueprocessorsMcGillUniversity(Canada),1996–1997.

44. ShaohuaHanImplementationofnestedrelationsinadatabaseprogramminglanguageMcGillUniversity(Canada),1996–1997.

45. HongruCaiMcGillUniversity(Canada),1995–1997.

46. ArtourVStoutchininOptimalsoftwarepipelining:IntegerlinearprogrammingapproachMcGillUniversity(Canada),1994–1996.

47. ShamirFatehaliMeraliDesigningandimplementingmemoryconsistencymodelsforshared---memorymultiprocessorsMcGillUniversity(Canada),1993–1996.

48. AlbertoJimenezMcGillUniversity(Canada),1993–1996.

Page 13: GUANG R. GAO

49. NasserElmasriTCL:Experiencesonamultiprocessorwithdual---processornodesMcGillUniversity(Canada),1992–1995.

50. RenhuaWenThedesignandimplementationofanaccuratearraydata---flowanalyzerintheHPCcompilerMcGillUniversity(Canada),1993–1995.

51. LuisAlfonsoLozanoExploitingshort---livedvariablesinsuperscalarprocessorsMcGillUniversity(Canada),1992–1994.

52. ChandrikaMukerjiRegisterallocationusingcyclicintervalgraphsMcGillUniversity(Canada),1991–1994.

53. MRaviShankerAparallelimplementationoftheA*---ViterbialgorithmforspeechrecognitionMcGillUniversity(Canada),1991–1993.

54. 54.CecileMoura(1991---1993)SuperDLX---AGenericSuperscalarSimulatorMcGillUniversity(Canada),1991–1993.

55. QiNingRegisterallocationforoptimalloopschedulingMcGillUniversity(Canada),1991–1993.

56. RussellOlsenCollectiveLoopFusionforArrayContractionMcGillUniversity(Canada),1989–1992.

57. NematollaahShiri---VarnaamkhaastiAdesignandimplementationofunimodulartransformationsofloopsMcGillUniversity(Canada),1990–1992.

58. Yue---BongWongApetri---netmodelforloopschedulingMcGillUniversity(Canada),1989–1991.

59. Jean---MarcMontiInterprocessorcommunicationsupportsforamultiprocessordataflowmachineMcGillUniversity(Canada),1989–1991.

60. AlanEmtageMcGillUniversity(Canada),1988–1991.

61. ZahariasParaskevasCodegenerationfordataflowsoftwarepipeliningMcGillUniversity(Canada),1987–1989.

Page 14: GUANG R. GAO

Postdoc(apartiallist):

1. StephaneZuckerman(2011-2016)

2. LongZheng(2012-2013)

3. TuHao(2012-2013)

4. ChenChen(2011–2013).

5. 2.SouadKoliai(2012–2013).

6. 3.XiaoxuanMeng(2009–2011).4.HandongYe(2008–2009).5.YeonseokLee(2007–2008).

7. 6.JeanChristopheBeyler(2007–2008).

8. 7.ZiangHu(1999–2008).

9. 8.HaipingWu(2000–2008).

10. 9.IoannisE.Venetis(2006–2007).10.ShuxinYang(2005–2007).11.TedT.Jeong(2005–2006).12.HongboRong(2001–2005).

11. Hirofumisakane(2001–2005).

12. AndresMarquez(2004).

13. Jozsefbukszar(2002–2004).

14. 16.JizhuLu(2000–2004).

15. 17.JianshanTang(2002–2003).

16. 18.RongcaiZhao(2000–2001).

17. 19.JoséN.Amaral(1998–2000).

18. 20.RuppaThulasiraman(1998–2000).

19. 21.GerdHeber(1997–1999).

20. ChihongZhang(1998–1999).

21. OlivierMaquelin(1994–1998).

22. 24.JianWang(1995–1997).

23. 25.XinminTian(1993–1996).

24. BenoitDupontDinechi(1995–1996).

25. RamaswamyGovindarajan(1990–1994).

26. 28.GuoningLiao(1991–1993).

Thosewhohavegraduatedaretrained in the fieldofparallelarchitecturesandcompilers, andtheirgenerallyhavebeensuccessfulintheircareerasevidenced bythefactthattheyhavebeenworking(orworked)astenure---trackuniversityprofessorsorasengineersinkeyindustrialsectors.

Page 15: GUANG R. GAO

SectionB:Scholarship

LISTOFRESEARCHPUBLICATIONS(partiallist)

Thecontributionsarelistedunderthefollowingcategory:

ReferredJournalPublicationsReferredConferencePublications Books/BookChapters/NotesSetsPatents

A. ReferredJournalPublications(partiallist))

1. Peng Qu, Jin Yan, You-Hui Zhang, Guang R Gao. Parallel TuringMachine, a Proposal. Journal ofComputerScienceandTechnology.Volume32,Issue2,Pages269-285

2. YaoWu, Long Zheng, BrianHeilig, Guang R Gao.HAMR: A dataflow-based real-time in-memoryclustercomputingengine.InternationalJournalofHighPerformanceComputingApplications.

3. Daniel Orozco, Elkin Garcia, Robert Pavel, Jaime Arteaga, Guang Gao. The Design andImplementation of TIDeFlow:ADataflow-InspiredExecutionModel for Parallel Loops andTaskPipelining.InternationalJournalofParallelProgramming.Volume44,Issue2,Pages278-307

4. RobertoGiorgi,RosaM.Badia,FrançsBodin,AlbertCohen,ParaskevasEvripidou,PaoloFaraboschi,Bernhard Fechner, GuangR. Gao, ArneGarbade, Rahul Gayatri, Sylvain Girbal, Daniel Goodman,Behran Khan, Souad Koliaï, Joshua Landwehr, NhatMinh LêFeng Li, Mikel Lujà AviMendelson,LaurentMorin,NachoNavarro,TomaszPatejko,AntoniuPop,PedroTrancoso,TheoUngerer,IanWatson,SebastianWeis,StéaneZuckerman,MateoValero,TERAFLUX:Harnessingdataflowinnextgeneration teradevices,Microprocessors andMicrosystems --- Journal,Available online18April2014,ISSN0141---9331.

5. ChenChen,SouadKoliaiandGuangR.Gao.ExploitationofLocalityforEnergyEfficiencyforBreadthFirstSearchinFine---grainExecutionModels.TsinghuaScienceandTechnology---Journal,Volume18,Number3,June2013.

6. Haitao Wei, Mingkang Qin, Junqing Yu, Dongrui Fan and Guang R. Gao. StreamTMC: StreamCompilation for Tiled Multi---core Architectures. Elsevier Journal of Parallel and DistributedComputing(JPDC),Volume73,Issue4,April2013,Pages484–494.

7. HaitaoWei, JunqingYu,Huafei Yu,MingkangQin, GuangR. Gao. SoftwarePipelining for StreamPrograms on Resource Constrained Multicore Architectures. IEEE Transactions on Parallel andDistributedSystems,Volume23(12),2338---2350,Dec.2012.

8. Daniel Orozco, Elkin Garcia, Rishi Khan, Kelly Livingston and Guang R. Gao. Toward HighThroughputAlgorithmsonManyCoreArchitectures.ACMTransactionsonArchitectureandCodeOptimization(TACO),Volume8,Issue4,January2012,ArticleNo.49.

9. JackB.Dennis,GuangR.Gao,XiaoX.Meng.ExperimentswiththeFreshBreezetree---basedmemorymodel,ComputerScience---ResearchandDevelopment,Volume26Issue3---4,pp.325---337,June2011.

10. Guangming Tan, VugranamC. Sreedhar andGuangR. Gao. Analysis and PerformanceResults ofComputingBetweennessCentralityonIBMCyclops64,JournalofSupercomputing.Vol.56Issue1,April2011.

11. GuangmingTan,NinghuiSunandGuangR.Gao.ImprovingPerformanceofDynamicProgramming

Page 16: GUANG R. GAO

via Parallelism and Locality on Multi---core Architectures, IEEE Transactions on Parallel andDistributedSystems,Vol.20,No.2,2009,pp.261---274.

12. Hongbo Rong, Alban Douillet, Guang R. Gao. Register allocation for software pipelinedmultidimensionalloops.ACMTrans.Program.Lang.Syst.30(4),July2008.

13. M. Kaplarevic, A.E. Murray, G. Gao, EnGENIUS --- Environmental Genome Informational UtilitySystem,JournalofBioinformaticsandComputationalBiology,JBCB---119R1,July,2008.

14. RishiL.Khan,RajanikanthVadigepalli,MaryK.McDonald,RobertF.Rogers,GuangR.GaoandJamesS. Schwaber, Dynamic transcriptomic response to acute hypertension in the nucleus tractussolitaries,AJP---Regulatory,IntegrativeandComparativePhysiology.Volume295:R15---R27,July,2008.

15. Hongbo Rong, Zhizhong Tang, R. Govindarajan, and Alban Douillet, Guang R. Gao, Single---dimensionsoftwarepipelining formulti---dimensional loops.ACMTransactions onArchitectureandCodeOptimization,Vol.4,No.1,January,2007.

16. Weirong Zhu, Yanwei Niu, and Guang R. Gao, Performance Portability on EARTH: A Case StudyacrossSeveralParallelArchitectures,ClusterComputing,Volume10,Number2,Page115---126,2007.

17. RishiLKhan,GregoryEGonye,GuangGaoand JamesSSchwaber,Auniversal referencesamplederivedfromclonevectorforimproveddetectionofdifferentialgeneexpression,BMCGenomics,Volume7:109,May,2006.

18. HaipingWu, ZiangHu, JosephManzano andGuang.R. Gao,MaddOperationAwareRedundancyElimination,InternationalJournalofSoftwareEngineeringandKnowledgeEngineering,Vol.15,No.2,Pages:357---362,2005

19. HongboYang,R.Govindarajan,GuangR.Gao,ZiangHu,ImprovingPowerEfficiencywithCompiler---Assisted CacheReplacement, Journal of Embedded Computing, Vol. 1, No. 4, Pages: 487---499,2005.

20. RobelKahsay,LiLiao,GuangGao,AnImprovedHiddenMarkovModelforTransmembraneProteinTopologyPredictionandItsApplicationstoCompleteGenomes,Bioinformatics,Volume21,Number9,Pages:1853---158,2005.

21. Robel Kahsay, GuoliWang, Guang Gao, Li Liao and Roland Dunbrack, Quasi---Consensus BasedCOMParisonofProfileHiddenMarkovModelsforProteinSequences,Bioinformatics,Volume21,Number10,Pages:2287---2293,2005

22. WeirongZhu,YanweiNiu,JizhuLu,ChuanShenandGuangR.Gao,ACluster---BasedSolutionforHigh Performance Hmmpfam Using EARTH Execution Model, International Journal of HighPerformanceComputingandNetworking,Vol2,Issue2/3/4,2004.

23. Parimala Thulasiraman, Kevin B. Theobald, Ashfaq A. Khokhar, and Guang R. Gao, EfficientMultithreaded Algorithms for the Fast Fourier Transform, Parallel and Distributed ComputingPractices,Vol.5,No.2,Pages:177---191,2004.

24. ParimalaThulasiraman,AshfaqA.Khokhar,GerdHeber,GuangR.Gao,AFine---GrainLoadAdaptiveAlgorithmofthe2DDiscreteWaveletTransformforMultithreadedArchitectures,JournalofParallelandDistributedComputing(JPDC),Vol.64,No.1,Pages:68---78,January2004.

25. DongRuiFan,HongboYang,GaungR.Gao,andRongCaiZhao,EvaluationandChoiceofVariousBranch Predictors for Low---Power Embedded Processor, Journal of Computer Science andTechnology,Vol.18,No.6,Pages:833---838,November,2003.

Page 17: GUANG R. GAO

26. GuyTremblay,ChristopherJ.Morrone,JoséN.Amaral,andGuangR.Gao,ImplementationoftheEARTHProgrammingModelonSMPClusters:aMulti---ThreadedLanguageandRuntimeSystem,ConcurrencyandComputation:PracticeandExperience,Vol.15,No.9,Pages:821---844,August2003.

27. Ramaswamy Govindarajan, Hongbo Yang, José N Amaral, Chihong Zhang, and Guang R. Gao,Minimum Register Instruction Sequencing to Reduce Register Spills in Out---of---Order IssueSuperscalarArchitectures,inIEEETransactionsonComputers,Vol.52,No.1,Pages:4---20,January2003.

28. Rishi Khan, Yujing Zeng, Javier Garcia---Frias, Guang Gao, A Bayesian Modeling Framework forGeneticRegulation,ProceedingoftheIEEEComputerSocietyBioinformaticsConference(CSB'02),Pages:330---333,LosAlamitos,CA,August14---16,2002.

29. RamaswamyGovindarajanandGuangR.Gao,MinimizingBufferRequirementsinRate---OptimalSchedulesinRegularDataflowNetworks, JournalofVLSISignalProcessing,Vol.31,No.3,Pages:207---229,Jul2002.

30. Adalberto T. Castelo, Wellington S. Martins, and Guang R. Gao, TROLL------Tandem RepeatOccurrenceLocator,Bioinformatics,Vol.18,No.4,Pages:634---636,April2002.

31. Ramaswamy Govindarajan, Erik R. Altman, and Guang R. Gao, A Theory for Co---SchedulingHardwareandSoftwarePipelinesinASIPsandEmbeddedProcessors,DesignAutomationforEmbeddedSystems,Vol.6,No.3,Pages:243---275,March2002.

32. RobelY.Kahsay,NatarajDongre,GuangR.Gao,GuoliWang,andRolandL.DunbrackJr.,CASA:AServerforTheCriticalAssessmentofSequenceAlignmentAccuracy,Bioinformatics,Vol.18,No.3,Pages:496---497,March2002.

33. Francisco J. Useche, Guang R. Gao, Mike Hanafey and Antoni Rafalski, High---ThroughputIdentification,DatabaseStorageandAnalysisofSNPsinESTSequences, GenomeInformatics12,Pages:194---203,December2001.

34. JoséNAmaral,Wen---YenLin,Jean---LucGaudiot,andGuangR.Gao,ExploitingLocalityinsingleAssignmentDataStructuresUpdatedthroughSplitPhaseTransactions,ClusterComputing,Specialissueon InternetScalability:Advances inParallel,DistributedandMobileSystems,Vol.4,No.4,Pages:281---293,October2001.

35. PrasadKakulavarapu,OlivierMaquelin,JoséNAmaral,andGuangR.Gao,DynamicLoadBalancersforaMultithreadedMultiprocessorSystem,ParallelProcessingLetters,Vol.11,No.1,Pages:169---184,March2001.

36. Guang R. Gao and Vivek Sarkar, Location Consistency------ A New Memory Model and CacheConsistencyProtocol, IEEETransactions onComputers, Vol. 49,No. 8, Pages: 798---813,August2000.

37. GerdHeber,RupakBiswas,GuangR.Gao,Self---avoidingwalksoveradaptiveunstructuredgrids,Concurrency:PracticeandExperience,Vol.12,Iss.2---3,Pages:85---109,Jun.2000.

38. XinanTangandGuangR.Gao,AutomaticallyPartitioningThreadsforMultithreadedArchitectures,SpecialIssuesonCompilationandArchitecturalSupportforParallelApplications,JournalofParallelandDistributedComputing,Vol.58,No.2,Pages:159---189,August1999.

39. WalidA.Najjar,EdwardALee,andGuangRGao,AdvancesintheDataflowComputationalModel,ParallelComputing,Vol.25,No.13---14,Pages:1907–1927,1999.

40. ErikR.AltmanandGuangR.Gao,OptimalModuloSchedulingThroughEnumeration,InternationalJournalonParallelProgramming,Vol.26,No.2,Pages:313---344,1998.

Page 18: GUANG R. GAO

41. ErikR.Altman,RamaswamyGovindarajan,andGuangR.Gao,AUnifiedFrameworkforInstructionScheduling and Mapping for Function Units with Structural Hazards, Journal of Parallel andDistributedComputing,Vol.49,No.2,Pages:259---293,1998.

42. VugranamC.Sreedhar,GuangR.Gao,andYong---FongLee,ANewFrameworkforEliminationBasedDataFlowAnalysisUsingDJGraphs,ACMTransactiononProgrammingLanguagesandSystems,Vol.20,No.2,Pages388---435,March1998.

43. VugranamC.Sreedhar,GuangR.Gao,andYong---fongLee,IncrementalComputationofDominatorTrees,ACMTransactionsonProgrammingLanguagesandSystems,Vol.19,No.2,Pages:239---252,March1997.

44. VugranamC.Sreedhar,GuangR.Gao,andYongfongLee,AQuadraticTimeAlgorithmforComputingMultipleNodeImmediateDominators,JournalofProgrammingLanguages,1996.

45. VugranamC.Sreedhar,GuangR.Gao,andYongfongLee,IdentifyingLoopsUsingDJGraphs,ACMTransactionsonProgrammingLanguagesandSystems,Vol.18,No.6,Pages:649–658,November1996.

46. Ramaswamy Govindarajan, Erik R. Altman, and Guang R. Gao. A Framework for Resource---constrained Rate---optimal Software Pipelining, IEEE Transactions on Parallel and DistributedSystems,Vol.7,No.11,Pages:1133---1149,November1996.

47. HerbertH. J.Hum,OlivierMaquelin,KevinB.Theobald,XinminTian,GuangR.Gao,andLaurie J.Hendren,AStudyoftheEARTH---MANNAMultithreadedSystem,InternationalJournalofParallelProgramming,Vol.24,No.4,Pages:319---347,August1996.

48. EshratArjomandi,WilliamO'Farrell,IvanKalas,GitaKoblents,FrankCh.Eigler,andGuang.R.Gao,ABC++:ConcurrencybyInheritanceinC++,IBMSystemsJournal,Vol.34,No.1,Pages:120---137,1995.

49. VugranamC.SreedharandGuangR.Gao,ALinearTimeAlgorithmforPlacingOE---nodes,JournalofProgrammingLanguages,1995.Accepted.

50. VugranamC.SreedharandGuangR.Gao,Computingphi---nodesinLinearTimeUsingDJGraphs,JournalofProgrammingLanguages,Vol.3,Pages:191---213,April1995.

51. QiNing,VincentV.Dongen,andGuangR.Gao,AutomaticDataandComputationDecompositionforDistributedMemoryMachines, Parallel Processing Letters, Vol. 5, No. 4, Pages: 539---550, April1995.

52. Ramaswamy Govindarajan and Guang R. Gao, Rate---optimal Schedule for Multi---rate DSPComputations,JournalofVLSISignalProcessing,Vol.9,No.3,Pages:211---232,April1995.

53. GuoningLiao,GuangR.Gao,VinodK.Agarwal,AdynamicallyscheduledparallelDSParchitectureforstreamflowprogramming,JournalofMicrocomputerApplications,Vol.17,Iss.2Pages:171---196,April1994

54. Laurie J. Hendren, Guang R. Gao, Erik R. Altman, and Chandrika Mukerji, A Register AllocationFrameworkBasedonHierarchicalCyclicIntervalGraphs,TheJournalofProgrammingLanguages,Vol.1,No.3,Pages:155---185,1993.

55. Guang.R.Gao,AnEfficientHybridDataflowArchitectureModel,JournalofParallelandDistributedComputing,Vol.19,No.4,Pages:293---307,December1993.

56. Qi Ning and Guang R. Gao, Optimal Loop Storage Allocation for Argument---fetching DataflowMachines,InternationalJournalofParallelProgramming,Vol.21,No.6,Pages:421---448,December1992.

Page 19: GUANG R. GAO

57. HerbertH.J.Hum,andGuang.R.Gao,AHigh---speedMemoryOrganizationforHybridDataflow/vonNeumannComputing,FutureGenerationComputerSystems,Vol.8,Pages:287---301,1992.

58. Guang.R. Gao,HerbertH. J.Hum, andYue---BongWong,TowardEfficient Fine---grain SoftwarePipelining and the Limited Balancing Techniques, International Journal of Mini andMicrocomputers,Vol.13,No.2,Pages:57---68,1991.

59. GuangR.Gao,ExploitingFine---grainParallelismonDataflowArchitectures,ParallelComputing,Vol.13,No.3,Pages:309---320,March1990.

60. Guang R. Gao, Algorithmic Aspects of Balancing Techniques for Pipelined Data Flow CodeGeneration,JournalofParallelandDistributedComputing,Vol.6,No.1,Pages:39---61,1989.

61. GuangR.Gao,A stability classificationmethodand itsapplication topipelinedsolutionof linearrecurrences,ParallelComputing,Vol.4.No.3,Pages:305---321,June1987.

62. GuangR.Gao,AMaximallyPipelinedTridiagonalLinearEquationSolver, JournalofParallel andDistributedComputing,Vol.3,No.2,Pages:215---235,1986.

63. Jack B. Dennis, Guang R. Gao, Kenneth W. Todd, Modeling the Weather with a Data FlowSupercomputer.IEEETransactionson.ComputersVol.33,No.7,Pages:592---603,July1984.

B. ReferredConferencePublications(partiallist)

1. Hoda Aghaei Khouzani, Pouya Fotouhi, Chengmo Yang, Guang R Gao Leveraging access portpositionstoacceleratepagetablewalkinDWM-basedmainmemory.2017Design,Automation&TestinEuropeConference&Exhibition(DATE)

2. AlfredoGoldman, SamiHabib, Jean-LucGaudiot,GuangRGao.The ImportanceofEfficientFine-GrainSynchronizationforMany-CoreSystems.LanguagesandCompilers forParallelComputing:29th InternationalWorkshop, LCPC 2016, Rochester, NY, USA, September 28-30, 2016, RevisedPapers

3. StéphaneZuckerman,BenoˆıtMeister,GuangRGao.EnergyAvoidingMatrixMultiply.LanguagesandCompilers forParallel Computing:29th InternationalWorkshop, LCPC2016,Rochester,NY,USA,September28-30,2016,RevisedPapers

4. Peng Qu, Jin Yan, Guang R Gao. Toward a Parallel Turing Machine Model. IFIP InternationalConferenceonNetworkandParallelComputing

5. Tongsheng Geng, Stéphane Zuckerman, José Monsalve, Alfredo Goldman, Sami Habib, Jean-LucGaudiot, Guang R Gao. The Importance of Efficient Fine-Grain Synchronization for Many-CoreSystems.InternationalWorkshoponLanguagesandCompilersforParallelComputing

6. JoshuaDSuetterlein,JoshuaLandwehr,AndresMarquez,JosephManzano,GuangRGao.ExtendingtheRooflineModelforAsynchronousMany-TaskRuntimes.ClusterComputing(CLUSTER)

7. Joshua Suetterlein, Joshua Landwehr, Andrés Márquez, Joseph B Manzano, Guang R Gao.Asynchronousruntimesinaction:Anintrospectiveframeworkforanextgenruntime.ParallelandDistributedProcessingSymposiumWorkshops,2016IEEEInternational

8. JoshuaLandwehr,JoshuaSuetterlein,AndrésMárquez,JosephManzano,GuangRGao.Applicationcharacterizationatscale:Lessonslearnedfromdevelopingadistributedopencommunityruntimesystem for high performance computing. Proceedings of the ACM International Conference onComputingFrontier

9. Xiaoming Li, Jack Dennis, Guang Gao, Willie Lim, Haitao Wei, Chao Yang and Robert Pavel,"FreshBreeze:ADataFlowApproachforMeetingDDDASChallenges",Toappearinproceedingsof

Page 20: GUANG R. GAO

the International Conference On Computational Science (ICCS2015). Reykjavík, Iceland, June 2,2015

10. A.Marquez,J.Manzano,S.Song,B.Meister,S.Shrestha,T.St.JohnandG.R.Gao,"ACDT:ArchitectedCompositeDataTypesTrading---inUnfetteredDataAccessforImprovedExecution"ToappearinThe 20th IEEE International Conference on Parallel and Distributed Systems, Hsinchu, Taiwan,December2014.

11. S.Shrestha,J.Manzano,A.Marquez,J.FeoandG.R.Gao,"JaggedTilingforIntra---tileParallelismandFine---GrainMultithreading"Toappearinthe27thInternationalWorkshoponLanguagesandCompilersforParallelComputing,Hillsboro,OR,USA,September,2014.

12. StéphaneZuckerman,AaronLandwehr,KellyLivingston,andGuangGao,“TowardaSelf---AwareCodeletExecutionModel”Toappearinproceedingsof4thWorkshopData---FlowExecutionModelsforExtremeScaleComputing(DFM’14),August24,2014,Edmonton,Alberta,Canada.

13. Jack B. Dennis and Guang R. Gao, "On the Feasibility of a Codelet BasedMulti---core OperatingSystem" To appear in the 4th Workshop on Data---Flow Execution Models for Extreme ScaleComputing(DFM'14),August24,2014,Edmonton,Alberta,Canada.

14. Jaime Arteaga, Stephane Zuckerman, Elkin Garcia, and Guang R. Gao, Position Paper: Locality---Driven Scheduling of Tasks for Data---Dependent Multithreading, To appear in Proceedings ofWorkshoponMulti---ThreadedArchitecturesandApplications(MTAAP2014),May2014.

15. TomSt.John,BenoitMeister,AndresMarquez,JosephB.Manzano,GuangR.Gao,andXiaomingLi,ASAFESSS: A Scheduler---driven Adaptive Framework for Extreme Scale Software Stacks, InProceedingsofthe4thInternationalWorkshoponAdaptiveSelf---TuningComputingSystems

16. (ADAPT'14);9thInternationalConferenceonHigh---PerformanceandEmbeddedArchitecturesandCompilers(HiPEAC'14),Vienna,Austria.January20---22,2014.BestPaperAward.

17. ElkinGarcia,DanielOrozco,RishiKhan,IoannisVenetis,KellyLivingstonandGuangGao,ADynamicSchematoincreaseperformanceinMany---coreArchitecturesthroughPercolationoperations,InProceedings of the 2013 IEEE International Conference on High Performance Computing (HiPC2013),December18---21,Hyderabad,India,2013.

18. ElkinGarcia, JaimeArteaga,RobertPavel,andGuangR.Gao,OptimizingtheLUFactorizationforEnergy Efficiency on a Many---Core Architecture, In Proceedings of the 26th InternationalWorkshop on Languages and Compilers for Parallel Computing (LCPC 2013), Santa Clara, CA,September25---27,2013.

19. HaitaoWei,GuangR.Gao,WeiweiZhang,JunqingYu,COStream:ADataflowProgrammingLanguageand Compiler for Multi---Core Architecture, In Proceedings of Data---Flow Models (DFM) forextreme scale computing Workshop 2013 in conjunction with Parallel Architectures andCompilationTechnologies(PACT2013),Edinburgh,Scotland,September8of2013.

20. Marco Solinas, Rosa M. Badia, François Bodin, Albert Cohen, Paraskevas Evripidou, PaoloFaraboschi, Bernhard Fechner, Guang R. Gao, Arne Garbade, Sylvain Girbal, Daniel Goodman,BehranKhan,SouadKoliai,FengLi,MikelLuján,LaurentMorin,AviMendelson,NachoNavarro,AntoniuPop,PedroTrancoso,TheoUngerer,MateoValero,SebastianWeis,IanWatson,StéphaneZuckermann,RobertoGiorgi,TheTERAFLUXProject: ExploitingtheDataFlowParadigminNextGenerationTeradevices,InProceedingsofthe16thEuromicroConferenceonDigitalSystemDesign,Santander,Spain,September4---6,2013.

21. JoshuaSuettlerlein,StephaneZuckerman,GuangR.Gao,AnImplementationoftheCodeletModel,InProceedingsof19thInternationalEuropeanConferenceonParallelandDistributedComputing(Euro---Par2013),Aachen,Germany.August26th,2013.

Page 21: GUANG R. GAO

22. Aaron Landwehr, Stephane Zuckerman, and Guang R. Gao, Toward a Self---aware System forExascaleArchitectures,InProceedingsofEuro---Par2013:ParallelProcessingWorkshops;the1stWorkshop on Runtime and Operating Systems for the Many---core Era (ROME 2013), Aachen,Germany.August26th,2013.

23. ChenChen,YaoWu,JoshuaSutterlein,LongZheng,MinyiGuo,andGuangR.Gao,AutomaticLocalityExploitationintheCodeletModel",InProceedingsof11thIEEEInternationalSymposiumonParallelandDistributedProcessingwithApplications(ISPA---13),Melbourne,Australia,July2013.

24. ChenChen,YaoWu,StephaneZuckerman,andGuangR.Gao,TowardsMemory---LoadBalancedFastFourier Transformations in Fine---grain Execution Models, In Proceedings of Workshop onMultithreadedArchitecturesandApplications(MTAAP2013),May24,2013,Boston,MassachusettsUSA.

25. ElkinGarciaandGuangR.Gao,Strategies for improvingPerformanceandEnergyEfficiencyonaMany---core, In Proceedings of 2013 ACM International Conference on Computer Frontiers (CF2013),May14---16,Ischia,Italy,ACM,2013.

26. JackB.Dennis,GuangR.Gao,andVivekSarkar,DeterminacyandRepeatabilityofParallelProgramSchemata,InProceedingsofSecondWorkshoponData---FlowExecutionModelsforExtremeScaleComputing(DFM2012),Minneapolis,MN,USA,September23,2012.

27. Daniel Orozco, Elkin Garcia, Robert Pavel, Orlando Ayala, Lian---Ping Wang and Guang R. Gao.DemystifyingPerformancePredictionsofDistributedFFT3D Implementations, InProceedingsofthe9thIFIPInternationalConferenceonNetworkandParallelComputing(NPC2012),Gwangju.Korea.September6---8,2012.

28. Sunil Shrestha, Chun---Yi Sun, AmandaWhite, JosephManzano,AndresMarquez, Jhon Feo,KirkCameron and Guang R. Gao. MODA: A Framework for Memory Centric PerformanceCharacterization. In Proceedings of the 2nd International Workshop on High---PerformanceInfrastructureforScalableTools(WHIST2012);26thInternationalConferenceofSupercomputing(ICS'12),Venice,Italy.June29,2012.

29. Elkin Garcia, Daniel Orozco, Robert Pavel and Guang R. Gao. A discussion in favor of DynamicSchedulingforregularapplicationsinMany---coreArchitectures,InProceedingsof2012Workshopon Multithreaded Architectures and Applications (MTAAP 2012); 26th IEEE InternationalParallel&DistributedProcessingSymposium(IPDPS2012),Shanghai,China.May25,2012.

30. ElkinGarcia,DanielOrozco,RishiKhan,IoannisVenetis,KellyLivingstonandGuangGao,DynamicPercolation: A case of study on the shortcomings of traditional optimization in Many---coreArchitectures,inProceedingsofACMInternationalConferenceonComputerFrontiers,May15---17,Cagliari,Italy,ACM,2012.

31. TomSt.John,JackB.DennisandGuangR.Gao.MassivelyParallelBreadthFirstSearchUsingaTree---StructuredMemoryModel. InProceedingsof InternationalWorkshoponProgrammingModelsandApplicationsforMulticoresandManycores(PMAM2012);17thACMSIGPLANSymposiumonPrinciplesandPracticeofParallelProgramming(PPoPP’12),NewOrleans,LA,USA.February25---29,2012.

32. Daniel Orozco, Elkin Garcia, Rishi Khan, Kelly Livingston and Guang R. Gao. Toward HighThroughputAlgorithmsonManyCoreArchitectures,InProceedingsof7thInternationalConferenceon High---Performance and Embedded Architectures and Compilers (HiPEAC), Paris, France.January23---25,2012.

33. Daniel Orozco, Elkin Garcia, Robert Pavel, Rishi Khan and Guang R. Gao, TIDeFlow: The TimeIteratedDependencyFlowExecutionModel,InProceedingsofWorkshoponData---FlowExecution

Page 22: GUANG R. GAO

Models for Extreme Scale Computing (DFM 2011); 20th International Conference on ParallelArchitecturesandCompilationTechniques(PACT2011),GalvestonIsland,TX,USA.October10---14,2011.

34. Long Chen, Oreste Villa and Guang R. Gao, Exploring Fine---Grained Task---based Execution onMulti---GPUSystems,InProceedingsofWorkshoponParallelProgrammingonAcceleratorClusters(PPAC2011);IEEECluster2011.Austin,TX,USA.September26,2011.

35. Lian---Ping Wang, Orlando Ayala, Hossein Parishani, Wojciech W Grabowski, Andrzej AWyszogrodzki,ZbigniewPiotrowski,GuangRGao,ChandraKambhamettu,XiaomingLi,LouisRossi,DanielOrozcoandClaudioTorres.Towardsanintegratedmultiscalesimulationofturbulentcloudson PetaScale computers, In Proceedings of 13th European Turbulence Conference (ETC13),Warsaw,Poland.September12---15,2011.

36. DanielOrozco,ElkinGarcia,RobertPavel,RishiKhanandGuangR.Gao,Polytasks:ACompressedTask Representation for HPC Runtimes, In Proceedings of the 24th InternationalWorkshop onLanguagesandCompilersforParallelComputing(LCPC2011),FortCollins,CO,USA.September8---10,2011.

37. JosephB.Manzano,GeGan,JuergenRibutzka,SunilShresthaandGuangR.GaoOPELLandPM:ACaseStudyonPortingSharedMemoryProgrammingModelstoAcceleratorsArchitectures,InProceedings of the 24th International Workshop on Languages and Compilers for ParallelComputing(LCPC2011),FortCollins,CO,USA.September8---10,2011.

38. YonghongYan,SanjayChatterjee,DanielOrozco,ElkinGarcia,ZoranBudimlic,JunShirako,RobertPavel,GuangR.GaoandVivekSarkar,HardwareandSoftwareTradeoffsforTaskSynchronizationonManycoreArchitectures,InProceedingsofInternationalEuropeanConferenceonParallelandDistributedComputing(Euro---Par'11),Bordeaux,France.August29---September2,2011.

39. JackB.Dennis,GuangR.GaoandXiaoX.Meng,ExperimentswiththeFreshBreezeTree---BasedMemoryModel, In Proceedings of International Supercomputing Conference (ISC'11),Hamburg,Germany,June19---23,2011.

40. StephaneZuckerman,JoshuaSuetterlein,RobKnauerhaseandGuangR.Gao,PositionPaper:Usinga"Codelet"ProgramExecutionModelforExascaleMachines,InProceedingsofACMSIGPLAN1stInternational Workshop on Adaptive Self---Tuning Computing Systems for the Exaflop Era(EXADAPT2011);ProgrammingLanguageDesignandImplementation(PLDI2011).SanJose,CA,USA.June5,2011.

41. JuergenRibutzka,JosephB.Manzano,YuheiHayashiandGuangR.Gao,TheElephantandtheMice:Non---Strict Fine---Grain Synchronization forMany---Core Architectures, In Proceedings of 25thInternationalConferenceonSupercomputing(ICS'11),Tucson,AZ,USA.May31---June4,2011.

42. Juergen Ributzka, Yuhei Hayashi, Fei Chen and Guang R. Gao, DEEP: An Iterative FPGA---basedMany---CoreEmulationSystemforChipVerificationandArchitectureResearch,InProceedingsof 19th ACM/SIGDA International Symposium on Field---Programmable Gate Arrays (FPGA'11),Monterrey,CA,USA.February27---March1,2011.

43. ElkinGarcia,DanielOrozcoandGuangR.Gao,EnergyefficienttilingonaMany---CoreArchitecture,4th Workshop on Programmability Issues for Heterogeneous Multicores (MULTIPROG---2011);heldinconjunctionwiththe6thInternationalConferenceonHigh---PerformanceandEmbeddedArchitecturesandCompilers(HiPEAC),Heraklion,Greece,January23,2011.

44. DanielOrozco,ElkinGarciaandGuangR.Gao,LocalityOptimizationofStencilApplicationsusingData Dependency Graphs, The 23rd International Workshop on Languages and Compilers forParallelComputing(LCPC2010),RiceUniversity,Houston,Texas,USA,October7---9,2010.

Page 23: GUANG R. GAO

45. ElkinGarcia,IoannisE.Venetis,RishiKhanandGuangR.Gao,OptimizedDenseMatrixMultiplicationonaMany---CoreArchitecture.InProceedingsofInternationalEuropeanConferenceonParallelandDistributedComputing(Euro---Par'10),Ischia,Italy,August31---September3,2010.

46. ChenChen,JosephBManzano,GeGan,GuangR.GaoandVivekSarkar,AStudyofaSoftwareCacheImplementation of the OpenMP Memory Model for Multicore and Manycore Architectures. InProceedingsofInternationalEuropeanConferenceonParallelandDistributedComputing(Euro---Par'10),Ischia,Italy,August31---September3,2010.

47. HaitaoWei,JunqingYu,HuafeiYuandGuangR.Gao,MinimizingCommunicationinRate---OptimalSoftwarePipeliningforStreamPrograms. InproceedingsofSymposiumonCodeGenerationandOptimization(CGO2010),Toronto,Canada,April24---28,2010.

48. HandongYe,RobertPavel,AaronLandwehrandGuangGao,TiNythreadsonBlueGene/P:Exploringmany---coreparallelismsbeyondThetraditionalOS,WorkshoponMultithreadedArchitecuresandApplications (MTAAP) in the 24th IEEE International Parallel and Distributed ProcessingSymposium(IPDPS2010),Atlanta,Georgia,USA,April23,2010.

49. LongChen,OresteVilla,SriramKrishnamoorthy,andGuangGao,DynamicLoadBalancingonSingle---andMulti---GPUSystems, InProceedingsof the24th IEEE InternationalParallel&DistributedProcessingSymposium,Atlanta,Georgia,USA,April19---23,2010.

50. LongChenandGuangR.Gao.PerformanceAnalysisofCooley---TukeyFFTAlgorithmsforaMany---coreArchitecture. InProceedingsoftheHighPerformanceComputingSymposium(HPC2010),Orlando,Florida,April12---15,2010.

51. JosephB.Manzano, AndresMarquez and Guang G. Gao.MODA: AMemory Centric PerformanceAnalysis Tool, 11th LCI International Conference on High---Performance Clustered Computing.PittsburghSupercomputingCenter,Pittsburgh,Pennsylvania,USA,March9---11,2010.

52. Alejandro Segovia, Xiaoming Li and Guang Gao, Iterative Layer---Based Raytracing on CUDA,Proceedings of International Performance Computing and Communications Conference (IPCCC2009),Phoenix,Arizona,USA,December,2009.

53. DanielOrozcoandGuangR.Gao,MappingtheFDTDApplicationtoMany---CoreChipArchitectures,InternationalConferenceonParallelProcessing(ICPP’09),Vienna,Austria,September2009.

54. Ge Gan, XuWang, JosephManzano and Guang R. Gao, Tile Percolation: an OpenMP Tile AwareParallelization Technique for the Cyclops---64 Multicore Processor, International EuropeanConferenceonParallelandDistributedComputing(Euro---Par’09),Delft,TheNetherlands,August2009.

55. IoannisE.Venetis,GuangR.Gao.MappingtheLUDecompositiononaMany---CoreArchitecture:ChallengesandSolutions,Proceedingsof the2009ACM InternationalConferenceonComputingFrontiers(CF’09),Ischia,Italy,2009.

56. GeGan,XuWang,JosephManzano,GuangR.Gao,Tilereduction:thefirststeptowardsOpenmptileawareparallelization.The5thInternationalWorkshoponOpenMP(IWOMP’09),Dresden,Germany,2009.

57. Guangming Tan, Vugranam Sreedhar, Guang Gao, Just---In---Time Locality and Percolation forOptimizing Irregular Applications on aManycore Architecture, Proceedings of The 21st AnnualLanguagesandCompilersforParallelComputingWorkshop(LCPC'08),2008.

58. Joseph J. Grzymskia, Alison E. Murraya, Barbara J. Campbell, Mihailo Kaplarevic, Guang R. Gao,CharlesLee,RoyDaniel,AmirGhadiri,RobertA.Feldman,andStephenC.Cary,Metagenomeanalysisof an extreme microbial symbiosis reveals eurythermal adaptation and metabolic flexibility,

Page 24: GUANG R. GAO

ProceedingsoftheNationalAcademyofSciencesoftheUnitedStatesofAmerica---PNAS,Volume.105,no.45,November11,2008.

59. Guangming Tan, Dongrui Fan, Junchao Zhang, Andrew Russo, Guang R. Gao. Experience onOptimizingIrregularComputationforMemoryHierarchyinManycoreArchitecture(posterpaper).13thACMSIGPLANSymposiumonPrinciples andPracticeofParallelProgramming (PPoPP'08).2008.

60. LipingXue,LongChen,ZiangHu,GuangR.Gao,PerformanceTuningoftheFastFourierTransformonaMulti---coreArchitecture,acceptedattheFirstWorkshoponProgrammabilityIssuesforMulti---CoreComputers(MULTIPROG),Goteborg,Sweden,January27,2008.

61. Peiheng Zhang, Guangming Tan, Guang R. Gao, Implementation of the Smith---Watermanalgorithm on a reconfigurable supercomputing platform, Proceedings of the 1st internationalworkshoponHigh---performancereconfigurablecomputingtechnologyandapplications:held inconjunctionwithSC07,Pages39---48,November11,2007.

62. Yuan Zhang, Evelyn Duesterwald and Guang Gao, Concurrency Analysis for Shared MemoryProgramswithTextuallyUnalignedBarriers,ProceedingsofThe20thInternationalWorkshoponLanguagesandCompilersforParallelComputing(LCPC2007),Urbana,Illinois,October11---13,2007.

63. Lurng---KuoLiu,FeiChen,ChristosJ.GeorgiouandGuangR.Gao,ServerI/OAccelerationUsinganEmbeddedMulti---coreArchitecture,acceptedattheWorkshoponApplicationSpecificProcessors(WASP’07),Salzburg,Austria,October4,2007.

64. AlbanDouilletandGuangR.Gao,Software---PipeliningonMulti---CoreArchitectures,Proceedingsofthe16thInternationalConferenceonParallelArchitecturesandCompilationTechniques(PACT2007),Brasov,Romania,September15---19,2007.

65. WeirongZhu,VugranamC. Sreedhar, ZiangHu, andGuangR.Gao, SynchronizationStateBuffer:Supporting Efficient Fine---Grain Synchronization for Many---Core Architectures, the 34thInternational

66. SymposiumonComputerArchitecture(ISCA2007),Pages:35–45,SanDiego,CA,USA,June9---13,2007.

67. GuangmingTan,NinghuiSunandGuangR.Gao,AParallelDynamicProgrammingAlgorithmonaMulti---coreArchitecture,19thACMSymposiumonParallelisminAlgorithmsandArchitectures(SPAA2007),Pages:135–144,SanDiego,CA,USA,June9---11,2007.

68. GuangR.Gao,ThomasSterling,RickStevens,MarkHereldandWeirongZhu,ParalleX:AStudyofANew Parallel Computation Model, In Proceedings of the 21st IEEE International Parallel andDistributedProcessingSymposium(IPDPS2007),pp.1–6,LongBeach,CA,USA.March26---30,2007.

69. Yuan Zhang, Vugranam C. Sreedhar, Weirong Zhu, Vivek Sarkar, Guang R. Gao, Optimized lockassignment and allocation: a method for exploiting concurrency among critical sections, In theProceedings of the 12th ACM SIGPLAN symposium on Principles and practice of parallelprogramming(PPoPP2007),Pages:146–147,SanJose,California,USA,March14---17,2007.

70. LongChen,ZiangHu,JunminLin,andGuangR.Gao,OptimizingFastFourierTransformonaMulti---core Architecture, Workshop on Performance Optimization for High---Level Languages andLibraries(POHLL'07), in conjunctionwith21th InternationalParallel andDistributedProcessingSymposium(IPDPS2007),Page(s):1–8,LongBeach,CA,USA,March2007.

71. GeGan,ZiangHu,JuanCuvillo,GuangR.Gao,Exploringamultithreadedmethodologytoimplement

Page 25: GUANG R. GAO

anetworkcommunicationprotocolontheCyclops---64multithreadedarchitecture,FirstWorkshopon Multithreaded Architectures and Applications(MATTP'07), in conjunction with 21thInternational Parallel andDistributedProcessing Symposium (IPDPS2007), Page(s):1 – 8, LongBeach,CA,USA,March2007.

72. Weirong Zhu, Ziang Hu, and Guang R. Gao, On the Role of Deterministic Fine---Grain DataSynchronization for Scientific Applications: A Revisit in the Emerging Many---Core Era, FirstWorkshoponMultithreadedArchitecturesandApplications(MATTP'07),inconjunctionwith21thInternational Parallel andDistributedProcessing Symposium (IPDPS2007), Page(s):1 – 8, LongBeach,CA,USA,March2007.

73. HaipingWu,EunjungPark,MihailoKaplarevic,YingpingZhang,MuratBolat,XiaomingLi,GuangR.Gao, Automatic Program Segment Similarity Detection in Targeted Program PerformanceImprovement, Workshop on Performance Optimization for High---Level Languages andLibraries(POHLL2007),inconjunctionwith21thInternationalParallelandDistributedProcessingSymposium(IPDPS2007),Page(s):1–8,LongBeach,CA,USA,March2007.

74. DanielOrozco,LipingXue,MuratBolat,XiaomingLi,GuangR.Gao,ExperienceofOptimizingFFTonIntelCoreArchitecture,WorkshoponPerformanceOptimization forHigh---LevelLanguagesandLibraries(POHLL'07), in conjunctionwith21th InternationalParallel andDistributedProcessingSymposium(IPDPS2007),Page(s):1–8,LongBeach,CA,USA,March2007.

75. WeirongZhu,ParimalaThulasiraman,RuppaK.ThulasiramandGuangR.Gao,ExploringFinancialApplications on Many---core---on---a---chip Architecture: A First Experiment, Workshop onFrontiersofHighPerformanceComputingandNetworking(FHPCN2006),inProceedingsof4thInternationalSymposiumonParallelandDistributedProcessingandApplications(ISPA---06),Sorrento, Italy, Dec.4---7, 2006; (Lecture Notes in Computer Science, Vol. 4331, pp.221---230,2006).

76. AlbanDouillet, Hongbo Rong, Guang R. Gao,Multidimensional Kernel Generation for LoopNestSoftwarePipelining,IntheProceedingsofEuropar'2006,Dresden,Germany,August---September2006.

77. ZiangHu,JuandelCuvillo,WeirongZhu,GuangR.Gao,OptimizationofDenseMatrixMultiplicationon IBMCyclops---64:ChallengesandExperiences, In theProceedingsofEuropar'2006,Dresden,Germany,August---September2006.

78. Haiping Wu, Long Chen, Joseph Manzano, Guang R. Gao, A User---Friendly Methodology forAutomatic Exploration of Compiler Options, In the Proceedings of the 2006 InternationalConference on Programming Languages and Compilers (PLC'06), Las Vegas, USA, June 26---29,2006.

79. HaipingWu,EunjungPark,LongChen,JuandelCuvillo,GuangR.Gao,User---FriendlyMethodologyforAutomaticExplorationofCompilerOptions:ACaseStudyontheIntelXScaleMicroarchitecture,IntheProceedingsofthe2006InternationalConferenceonProgrammingLanguagesandCompilers(PLC'06),LasVegas,USA,June26---29,2006.

80. WeirongZhu,JuandelCuvillo,andGuangR.Gao,PerformanceCharacteristicsofOpenMPLanguageConstructs on a Many---core---on---a---chip Architecture, the 2nd International Workshop onOpenMP(IWOMP2006),Reims,France, June12---15,2006; (LectureNotes inComputerScience,Vol.4315,pp230---241).

81. JuandelCuvillo,WeirongZhu,ZiangHu,andGuangR.Gao,TowardaSoftwareInfrastructurefortheCyclops---64CellularArchitecture,20thInternationalSymposiumonHighPerformanceComputingSystemsandApplications,St.John's,NewfoundlandandLabrador,Canada,May14---17,2006.

Page 26: GUANG R. GAO

82. JuandelCuvillo,WeirongZhu,GuangR.Gao,LandingOpenMPonCyclops---64:AnEfficientMappingofOpenMPtoamany---coreSystem---on---a---chip,ACMInternationalConferenceonComputingFrontiers,Ischia,Italy,May2---5,2006.

83. YingM.P.Zhang,TaikyeongJeong,FeiChen,HaipingWu,RonnyNitzsche,andGuangR.Gao,AStudyoftheOn---ChipInterconnectionNetworkfortheIBMCyclops---64Multi---CoreArchitecture,IntheProceedingsof20thInternationalParallelandDistributedProcessingSymposium(IPDPS2006),RhodesIsland,Greece,April25---29,2006.

84. Guang R. Gao, Thomas Sterling, Rick Stevens, Mark Hereld, and Weirong Zhu, HierarchicalMultithreading: Programming Model and System Software, Workshop on NSF Next GenerationSoftwareProgram (NSFNGS'06), in conjunctionwith 20th International Parallel andDistributedProcessingSymposium(IPDPS2006),RhodesIsland,Greece,April25---29,2006.

85. YanweiNiu,ZiangHu,KennethE.Barner,GuangR.Gao,PerformanceModellingandOptimizationofMemoryAccessonCellularComputerArchitectureCyclops64,NetworkandParallelComputing,IFIPInternationalConference,Beijing,China,November30---December3,2005.

86. Alban Douillet and Guang R. Gao, Register Pressure in Software---pipelined Loop Nests: FastComputation and Impact on Architecture Design, In the Proceedings of the 18th InternationalWorkshoponLanguages andCompilers for Parallel Computing (LCPC'05),Hawthorne,NY,USA,October2005.

87. Dongrui Fan, Zhimin Tang, Hailin Huang, Guang R. Gao, An Energy Efficient TLB DesignMethodology,IntheProceedingsofthe2005InternationalSymposiumonLowpowerelectronicsanddesign2005(ISLPED’05),SanDiego,CA,USA,August08---10,2005.

88. HaipingWu,ZiangHu,JosephManzanoYingpingZhangandGuangR.Gao,IdentifyingMultiply---AddOperationsinKylinCompiler,Proceedingsofthe2005InternationalConferenceonEmbeddedSystemsandApplications(ESA'05),LasVegas,Nevada,USA,June27---30,2005

89. HongboRong,AlbanDouilletandGuangR.Gao,RegisterAllocationforSoftwarePipelinedMulti---dimensionalLoops,Proceedingsofthe2005ACMSIGPLANconferenceonProgramminglanguagedesignandimplementation2005,Chicago,IL,USAJune12---15,2005.

90. JuandelCuvillo,WeirongZhu,ZiangHuandGuangR.Gao,FAST:AFunctionallyAccurateSimulationToolset for the Cyclops---64 Cellular Architecture, Workshop on Modeling, Benchmarking andSimulation (MoBS), held in conjunction with the 32nd Annual International Symposium onComputerArchitecture(ISCA'05),Madison,Wisconsin,June4,2005.

91. JosephB.Manzano,YuanZhangandGuangR.Gao,P3I:TheDelawareProgrammability,Productivityand Proficiency Inquiry, Proceedings of the Second International Workshop On SoftwareEngineering for High Performance Computing System Applications (SE---HPCS '05), St. Louis,Missouri,May15,2005.

92. YuanZhang,JosephB.ManzanoandGuangR.Gao,AtomicSection:ConceptandImplementation,Mid---Atlantic Student Workshop on Programming Languages and Systems (MASPLAS '05),Newark,Delaware,April30,2005.

93. WeirongZhu,YanweiNiuandGuangR.Gao,PerformancePortabilityonEARTH:ACaseStudyacrossSeveral Parallel Architectures, The 4th International Workshop on PerformanceModeling,Evaluation, and Optimization of Parallel and Distributed Systems(PMEO---PDS'05),conjunctedwithIPDPS2005,Denver,Colorado,USA,April4–8,2005.

94. JuandelCuvillo,WeirongZhu,ZiangHu,GuangR.Gao,TiNyThreads:aThreadVirtualMachinefortheCyclops64CellularArchitecture,The19thInternationalParallel andDistributedProcessingSystem,Denver,Colorado,April3---8,2005

Page 27: GUANG R. GAO

95. YuanZhang,WeirongZhu,FeiChen,ZiangHu,GuangR.Gao,SequentialConsistencyRevisit:TheSufficientConditionandMethodtoReasonTheConsistencyModelofaMultiprocessor---On---A---ChipArchitecture,TheTwenty---ThirdIASTEDInternationalConferenceonParallelandDistributedComputingandNetworks(PDCN2005)Innsbruck,Austria,February15–17,2005

96. P.Thiagarajan,P.Chen,K.Steiner,G.GaoandK.Barner,SegmentingDeformableSurfaceModelsUsingHapticFeedback,InProceedingsofMedicineMeetsVirtualReality,January12,2005.

97. Kahsay,R.,Liao,L.,Gao,GuangR.,AnImprovedHiddenMarkovModelforTransmembraneProteinTopology Prediction. ICTAI`04 (16th IEEE International Conference on Tools with ArtificialIntelligence),BocaRaton,FL,USA,Nov,2004.

98. FeiChen,KevinB.Theobald,andGuangR.Gao.ImplementingParallelConjugateGradientontheEARTHMultithreadedArchitecture,IEEEInternationalConferenceonClusterComputing(CLUSTER2004),SanDiego,CA,September,2004.

99. YanweiNiu,ZiangHuand,GuangR.Gao,ParallelReconstructionforParallelImagingSPACERIPon Cellular Computer Architecture, The 16th IASTED International Conference on Parallel andDistributedComputingandSystems(PDCS2004),Cambridge,MA,USA,November9---11,2004.

100. ArthurStoutchininandGuangR.Gao,If---ConversioninSSAForm,ProceedingsofEuro---Par2004,Pisa,Italy,Aug.31–Sept.3,2004.

101. Hongbo Rong, Zhizhong Tang, R.Govindarajan, Alban Douillet, and Guang R.Gao, Single---Dimension Software Pipelining for Multi---Dimensional Loops, Proceedings of the 2004International Symposiumon Code Generation and Optimization with Special Emphasis onFeedback---DirectedandRuntimeOptimization(CGO---2004),Pages:163---174,PaloAlto,California,March20---24,2004.

102. Hongbo Rong, Alban Douillet, R. Govindarajan, and Guang R.Gao, Code Generation for Single---DimensionSoftwarePipeliningofMulti---DimensionalLoops,Proceedingsofthe2004InternationalSymposiumonCodeGenerationandOptimizationwithSpecialEmphasisonFeedback---Directedand RuntimeOptimization (CGO---2004), Pages: 175---186, Palo Alto, California,March 20---24,2004.

103. HirofumiSakane,LeventYakay,VishalKarna,ClementLeungandGuangR.Gao,DIMES:AnIterativeEmulation Platform for Multiprocessor---System---on---Chip Designs, Proceedings of the IEEEInternational Conference on Field---Programmable Technology (ICFTP'03), Pages: 244---251,Tokyo,Japan,December15---17,2003.

104. WeirongZhu,YanweiNiu,JizhuLu,ChuanShen,andGuangR.Gao,ACluster---BasedSolutionforHigh Performance Hmmpfam Using EARTH Execution Model, Proceedings of the Fifth IEEEInternationalConferenceonClusterComputing(CLUSTER2003),Pages:30---37,HongKong,P.R.China,December,2003.

105. Ziang Hu, Yan Xie, Ramaswamy Govindarajan, and Guang R. Gao, Code Size Oriented MemoryAllocation forTemporaryVariables,Proceedingsof theFifthWorkshoponMediaandStreamingProcessors(MSP---5/MICRO---36),SanDiego,California,December1,2003.

106. Ziang Hu, Yuan Zhang, Hongbo Yang and Guang. R. Gao, Code Size Reductionwith Global CodeMotion,Workshop on Compilers and Tools for Constrained Embedded Systems (CTCES/CASES)2003,SanJose,California,Oct.29,2003.

107. Juan del Cuvillo, Xinmin Tian, Guang R. Gao, andMilind Girkar, Performance Study of aWholeGenome Comparison Tool on a Hyper---Threading Multiprocessor, Proceedings of the FifthInternationalSymposiumonHighPerformanceComputing,Pages:450---457,Tokyo,Japan,October20---22,2003.

Page 28: GUANG R. GAO

108. AndresMarquez andGuangR.Gao, CARE:Overviewof anAdaptiveMultithreadedArchitecture,ProceedingsoftheFifthInternationalSymposiumonHighPerformanceComputing,Pages:26---38,Tokyo,Japan,October20---22,2003.

109. HongboYang,RamaswamyGovindarajan,GuangR.GaoandZiangHu,Compiler---AssistedCacheReplacement: Problem Formulation and Performance Evaluation, Proceedings of the 16thInternationalWorkshoponLanguagesandCompilersforParallelComputing(LCPC'03),Pages:77---92,CollegeStation,Texas,October,2003

110. LiuYang,SunChan,GuangR.Gao,RoyJu,Guei---YuanLueh,andZhaoqingZhang,Inter---ProceduralStacked Register Allocation for Itanium Like Architecture, Proceedings of the 17th AnnualACM/IEEEInternationalConferenceonSupercomputing,Pages:215---225,SanFrancisco,CA,USA,June23---26,2003.

111. Adeline Jacquet, Vincent Janot,Clement Leung, Guang R. Gao, Ramaswamy Govindarajan, andThomas L. Sterling, An Executable Analytical Performance Evaluation Approach for EarlyPerformance Prediction, Proceedings of the International Parallel and Distributed ProcessingSymposium(IPDPS'03),Nice,France,April22---26,2003.

112. GuangR.Gao,KevinB.Theobald,RamaswamyGovindarajan,ClementLeung,ZiangHu,HaipingWu,Jizhu Lu, Juan del Cuvillo, Adeline Jacquet, Vincent Janot, and Thomas L. Sterling, ProgrammingModels and System Software for Future High---End Computing Systems:Work---in--- Progress,ProceedingsoftheInternationalParallelandDistributedProcessingSymposium(IPDPS'03),Nice,France,April22---26,2003.

113. PraveenThiagarajanandGuangRGao,VisualizingBiosequencedatausingTextureMapping,IEEESymposiumonInformationVisualization(InfoVis2002),Pages:103---109,BostonMassachusetts,October28---29,2002.

114. HongboYang,GuangR.Gao, andClementLeung,OnAchievingBalancedPowerConsumption inSoftware Pipelined Loops, Proceedings of the 2002 International Conference on Compilers,ArchitectureandSynthesisforEmbeddedSystems(CASES),Grenoble,France,Oct8---11,2002.

115. Hongbo Yang, Ramaswamy Govindarajan, Guang R. Gao, George Cai and Ziang Hu, ExploitingScheduleSlacksforRate---OptimalPower---MinimumSoftwarePipelining,Proceedingsofthe3rdWorkshoponCompilersandOperatingSystemsforLowPower(COLP'02),Conjunctionwith

116. The11thInternationalConferenceonParallelArchitectureandCompilationTechniques(PACT'02),Charlottesville,Virginia,Sept22---25,2002.

117. Hongbo Yang, Ramaswamy Govindarajan, Guang R. Gao, and Kevin B. Theobald, Power---PerformanceTrade---offsforEnergy---EfficientArchitectures:AQuantitativeStudy,Proceedingsofthe20thInternationalConferenceonComputerDesign(ICCD),Freiburg,Germany,September16---18,2002.

118. JavierGarcia---Frias,YujingZeng,JianshanTang,andGuangRGao,AnAdaptiveMeta---ClusteringApproach:CombiningtheInformationfromDifferentClusteringResults,ProceedingsoftheIEEEComputerSocietyBioinformaticsConference(CSB’02),Stanford,California,August14---16,2002.

119. AlbanDouillet,JoséNelsonAmaral,GuangR.Gao,Fine---GrainStackedRegisterAllocationfortheItanium Architecture, Proceeding of 15th Workshop on Languages and Compilers for ParallelComputingCollegePark,Pages:345---361,Maryland,July,2002.

120. Rishi Kumar, Gagan Agrawal, and Guang R. Gao, Compiling several classes of CommunicationPatternsonaMultithreadedArchitecture,ProceedingsoftheInternationalParallelandDistributedProcessingSymposium(IPDPS’02),FortLauderdale,California,April15---19,2002.

Page 29: GUANG R. GAO

121. Eduard Ayguadé, FredrikDahlgren, Christine Eisenbeis, Roger Espasa, Guang R. Gao, Henk L.Muller, Rizos Sakellariou, André Seznec, Topic 08+13: Instruction---Level Parallelism andComputerArchitecture,Proceedingsofthe7thInternationalEuro---ParConferenceManchesteronParallelProcessing,Page:385,LectureNotesInComputerScience;Vol.2150,2001

122. G.R.Gao,BridgingthegapbetweenISAcompilersandsiliconcompilers:achallengeforfutureSoCdesign,ProceedingsofThe14thInternationalSymposiumonSystemSynthesis,Page:93,Montreal,Canada,October1---3,2001

123. WellingtonS.Martins, JuandelCuvillo,WenwuCui,andGuangRGao,WholeGenomeAlignmentusingaMultithreadedParallelImplementation,Proceedingsofthe13thSymposiumonComputerArchitectureandHighPerformanceComputing,Pirenopolis,Pages:1---8,Brazil,September10---12,2001.

124. HongboYang,GuangR.Gao,AndresMarquez,GeorgeCai,andZiangHu,PowerandEnergyImpactbyLoopTransformations,ProceedingsoftheWorkshoponCompilersandOperatingSystemsforLow Power (COLP) 2001, held in conjunction with Parallel Architecture and CompilationTechniques(PACT)2001,Barcelona,SPAIN,Sept8---12,2001.

125. Christopher J. Morrone, José N Amaral, Guy Tremblay, and Guang R. Gao, A Multi---ThreadedRuntimeSystemforaMulti---Processor/Multi---NodeCluster,Proceedingsofthe15thAnnualIEEEInternationalSymposiumonHighPerformanceComputingSystemsandApplications,Windsor,ON,Canada,June18---20,2001.

126. Rishi Kumar, Gagan Agrawal, Kevin Theobald, GaryM. Zoppetti, and Guang R. Gao, CompilingSeveral Classes of Reductions on a Multithreaded Architecture, Proceedings of Mid---AtlanticStudentWorkshoponProgrammingLanguagesandSystems2001,IBMWatsonResearchCenter,Hawthorne,USA,April27,2001.

127. Ruppa K. Thulasiram, Lybomir Litov, Hassan Nojumi, Chris Downing, and Guang R. Gao,Multithreaded Algorithms for Pricing a Class of Complex Options, Proceedings of the 15thInternationalParallelandDistributedProcessingSymposium,Page:18,SanFrancisco,CA,April23---27,2001.

128. Ramaswamy Govindarajan, Hongbo Yang, José N. Amaral, Chihong Zhang and Guang R. Gao,MinimumRegister InstructionSequenceProblem:RevisitingOptimalCodeGeneration forDAGs,Proceedingsofthe15thInternationalParallelandDistributedProcessingSymposium,Page:26SanFrancisco,April23---27,2001.

129. Ruppa K. Thulasiram, Lubomir Litov, Hassan Nojumi, Christopher T. Downing, Guang R. Gao:Multithreaded Algorithms for Pricing a Class of Complex Options, Proceedings of the 15thInternationalParallel&DistributedProcessingSymposium,Pages:18,SanFrancisco,CA,April23---27,2001

130. JuanDelCuvillo,WellingtonS.Martins,GuangRGao,WenwuCuiandSunKim,ATGC---AnotherToolfor Genome Comparison, Currents in Computational Molecular Biology 2001, Pages: 13--- 14,Montreal,April22---25,2001.

131. Artour Stoutchinin, José N Amaral, Guang R. Gao, Jim Dehnert, Suneel Jain, Alban Douillet,SpeculativePrefetchingofInductionPointers,Proceedingsofthe10thInternationalConferenceonCompilerConstruction(withETAPS2001),Pages:289---303,Genova,Italy,April2---6,2001.

132. FranciscoJoseUseche,M.Morgante,M.Hanafey,ScottTingey,WellingtonS.Martins,GuangRGao,AntoniRafalski, ComputerDetection of SingleNucleotidePolymorphisms (SNPs) inMaizeESTs,Plant&AnimalGenomeIXConference,SanDiego,CA.January13–17,2001.

133. WellingtonS.Martins,JuandelCuvillo,FranciscoJoseUseche,KevinB.Theobald,andGuangR.Gao,

Page 30: GUANG R. GAO

A Multithreaded Parallel Implementation of a Dynamic Programming Algorithm for SequenceComparison,Proceedingsofthe6thPacificSymposiumonBiocomputing(PSB2001),Pages311---322,MaunaLani,Hawaii,January3---7,2001

134. Kevin B. Theobald, Gagan Agrawal, Rishi Kumar, GerdHeber, Guang R. Gao, Paul Stodghill, andKeshav Pingali, Landing CG on EARTH: A Case Study of Fine---Grained Multithreading on anEvolutionaryPath,ProceedingsofSC2000:HighPerformanceNetworkingandComputing,Dallas,Texas,November4---10,2000

135. José N. Amaral, Guang R. Gao, Erturk Dogan Kocalar, Patrick O'Neill, Xinan Tang, Design andImplementationofanEfficientThreadPartitioningAlgorithm,Proceedingsofthe3rdInternationalSymposiumonHighPerformanceComputing,Pages:252---259,Kyoto,Japan,October2000.

136. KevinB.Theobald,RishiKumar,GaganAgrawal,GerdHeber,RuppaK.ThulasiramandGuangR.Gao,DevelopingaCommunicationIntensiveApplicationonEARTHMultithreadedArchitecture,ADistinguishedPaperintheProceedingsofEuro---Par2000,Pages:625---637,Munchen,Germany,August2000.

137. RamaswamyGovindarajan,ErikR.Altman,andGuangR.Gao,ATheoryforSoftware---HardwareCo---Scheduling for ASIPs and Embedded Processors, Proceedings of the IEEE InternationalConferenceonApplication---Specific Systems,Architectures andProcessors (ASAP'2000),Pages:329---339,Boston,MA,July10---12,2000.

138. ParimalaThulasiraman,KevinBTheobald,AshfaqA.Khokhar, andGuangR.Gao,MultithreadedAlgorithms for the Fast Fourier Transform, Proceedings of the 12th Symposium on ParallelAlgorithmsandArchitectures(SPAA),Pages176---185,BarHarbor,ME,June2000.

139. Ruppa K. Thulasiram, Christopher Downing, and Guang R. Gao, Recursive and IterativeMultithreaded Algorithms for Pricing American Securities, Proceedings of the InternationalConference on Parallel and Distributed Processing Techniques and Applications, Pages:1571---1577,LasVegas,June26---29,2000.

140. RuppaK.Thulasiram,ChristopherDowningandGuangR.Gao,AMultithreadedParallelAlgorithmfor Pricing American Securities, Proceedings (CD---RoM) of the Computational Finance 2000Conference,London,UK,May/June,2000.

141. GaryM. Zoppetti, GaganAgrawal, Lori Pollock, JoseNelsonAmaral, XinanTang andGuangGao,Automaticcompilertechniquesforthreadcoarseningformultithreadedarchitectures,Proceedingsofthe14thinternationalconferenceonSupercomputing,Pages:306---315,SantaFe,NM,May8---11,2000.

142. Wen---YenLin,JoséN.Amaral,Jean---LucGaudiot,andGuangR.Gao,CachingSingle---AssignmentStructures to Build a Robust Fine---Grain Multi---Threading System, Proceedings of theInternationalParallel andDistributedProcessingSymposium,Pages:589---594,Cancun,Mexico,May1---5,2000.

143. BruceCarter, Chuin---ShanChen, L. Paul Chew,Nikos Chrisochoides, GuangR. Gao, GerdHeber,Anthony R. Ingraffea, Roland Krause, ChrisMyers, DémianNave, Keshav Pingali, Paul Stodghill,Stephen A. Vavasis, Paul A. Wawrzynek: Parallel FEM Simulation of Crack Propagation ---Challenges, Status, andPerspectives, IPDPSWorkshops: Irregular2000 ---WorkshoponSolvingIrregularly Structured Problems in Parallel 2000, Pages: 443---449, Cancun, Mexico, May 1---5,2000.

144. Wen---YenLin, Jean---LucGaudiot, JoséNAmaral,andGuangR.Gao,DoSoftwareCachesWork?PerformanceAnalysisoftheI---StructureSoftwareCacheonMultiThreadingSystems,Proceedingsofthe19thIEEEInternationalPerformance,Computing,andCommunicationsConference(IPCCC

Page 31: GUANG R. GAO

2000),Pages:83---89,Phoenix,Arizona,February,2000.

145. PrasadKakulavarapu,ChristopherJ.Morrone,KevinB.Theobald,JoséNAmaral,andGuangR.Gao,A Comparative Performance Study of Fine---Grain Multi---threading on Distributed MemoryMachines,Proceedingsofthe9thIEEEInternationalPerformance,Computing,andCommunicationsConference---IPCCC2000,Pages:590---596,Phoenix,Arizona,February,2000.

146. Ramaswamy Govindarajan, Chihong Zhang, Guang R. Gao: Minimum Register InstructionScheduling: A New Approach for Dynamic Instruction Issue Processors. Proceeding of the 12thInternationalWorkshopLanguagesandCompilersforParallelComputing(LCPC’1999),Pages:70---84,LaJolla/SanDiego,CA,USA,August4---6,1999.

147. SeanRyan, JoséN.Amaral,GuangR.Gao,ZacharyRuiz,AndresMarquez,andKevinB.Theobald,Coping with Very High Latencies in Petaflop Computer Systems, Proceedings of the 2ndInternationalSymposiumonHighPerformanceComputing,Pages:71---82,Kyoto,Japan,May1999.

148. GerdHeber,RupakBiswas,andGuangR.Gao,Self---AvoidingWalksoverAdaptiveTriangularGrids,Proceedingsofthe9thSIAMParallelProcessingConferenceforScientificComputing,SanAntonio,Texas,April,1999.

149. ShigeruKusakabe,KentaroInenaga,MakotoAmamiya,XinanTang,AndresMarquez,GuangR.Gao,Implementing a Non---Strict Functional Programming Language on a Threaded Architecture,Proceedingsofthe11IPPS/SPDP'99WorkshopsHeldinConjunctionwiththe13thInternationalParallel Processing Symposium and 10th Symposium on Parallel and Distributed Processing(IPPS/SPDP),Pages:138---152,SanJuan,PuertoRico,April12---16,1999.

150. G. Heber, R. Biswas, G.R. Gao, A new approach to parallel dynamic partitioning for adaptiveunstructuredmeshes,Proceedingsofthe11IPPS/SPDP'99WorkshopsHeldinConjunctionwiththe13thInternationalParallelProcessingSymposiumand10thSymposiumonParallelandDistributedProcessing(IPPS/SPDP),Pages:360---364,SanJuan,PuertoRico,April12---16,1999.

151. Ashfaq A. Khokhar, Gerd Heber, Parimala Thulasiraman and Guang R. Gao, Load AdaptiveAlgorithms and Implementation for the 2D Discrete Wavelet Transform on Fine---GrainMultithreadedArchitectures,Proceedingsofthe11IPPS/SPDP'99WorkshopsHeldinConjunctionwiththe13thInternationalParallelProcessingSymposiumand10thSymposiumonParallelandDistributedProcessing(IPPS/SPDP),Pages:458---462,SanJuan,PuertoRico,April12---16,1999.

152. GerdHeber,RupakBiswas,andGuangR.Gao,Self---AdaptiveWalksoverAdaptiveUnstructuredGrids, Proceedings of Irregular ’99, in conjunction with the International Parallel ProcessingSymposium(IPPS/SPDP),Pages:969---977,SanJuan,PuertoRico,April12---16,1999.

153. Gerd Heber, Rupak Biswas, Parimala Thulasiram and Guang R. Gao, Using Multithreading forAutomatic Load Balancing of Adaptive Finite Element Meshes, Proceedings of Irregular ’99, inconjunctionwiththeInternationalParallelProcessingSymposium(IPPS/SPDP),Pages:969---977,SanJuan,PuertoRico,April12---16,1999.

154. Chihong Zhang, Ramaswamy Govindarajan, and Guang R. Gao, Efficient State---DiagramConstructionMethodsforSoftwarePipelining,Proceedingsofthe8thInternationalConferenceonCompilerConstruction(CC'99),heldaspartofETAPS'99,Amsterdam,TheNetherlands,March22---26,1999.

155. José N. Amaral, Guang R. Gao, Phillip Merkey, Thomas Sterling, Zachary Ruiz, and Sean Ryan,PerformancePredictionfortheHTMT:AProgrammingExample,Proceedingsofthe3rdPetaFLOPSWorkshop3,Pages:25---31,Annapolis,Maryland,February22,1999

156. KevinBTheobald,GuangR.Gao,andThomasL.Sterling,SuperconductingProcessorsforHTMT:IssuesandChallenges,ProceedingsofThe7thSymposiumonTheFrontiersofMassivelyParallel

Page 32: GUANG R. GAO

Computation(Frontiers’99),Pages:260---267,Annapolis,Maryland,February21---25,1999.

157. HaiyingCai,OlivierMaquelin,PrasadKakulavarapu,andGuangR.Gao,DesignandEvaluationofDynamic Load Balancing Schemes under a Fine---Grain Multithreaded Execution Model,Proceedings of the Workshop on Multithreaded Execution, Architecture and Compilation(MTEAC), in conjunction with the 1999 IEEE Symposium on High---Performance ComputerArchitecture(HPCA99),Orlando,Florida,January,1999.

158. Andres Marquez, Kevin B. Theobald, Xinan Tang and Guang R. Gao, The Superstrand Model,ProceedingsoftheWorkshoponMultithreadedExecution,ArchitectureandCompilation(MTEAC),in conjunction to the 1999 IEEE Symposium on High---Performance Computer Architecture(HPCA99),Orlando,Florida,January,1999.

159. XinanTangandGuangR.Gao,How"Hard"isThreadPartitioningandHow"Bad"isaListSchedulingBasedPartitioningAlgorithm,Proceedingsof10thAnnualACMSymposiumonParallelAlgorithmsandArchitectures,PuertoVallarta,Mexico,Pages:130---139,June1998.

160. RamaswamyGovindarajan,NarasimhaRao,ErikR.Altman,andGuangR.Gao,AnEnhancedCo---Scheduling Method using Reduced MS---State Diagrams, Proceedings of the 12th InternationalParallelProcessingSymposium(IPPS/SPDP),Pages:168---175,Orlando,Florida,April1998.

161. SylvainLelait,GuangR.Gao,andChristineEisenbeis,ANewFastAlgorithmforOptimalRegisterAllocationinModuloScheduledLoops,Proceedingsofthe7thInternationalConferenceonCompilerConstruction, CC'98, held aspart of ETAPS'98, 1998,KaiKoskimies,Vol. 1383, LectureNotes inComputerScience,Pages:204---218,Springer,Lisbon,Portugal,March28–April4,1998.

162. D. Vengroff, G. Gao, Partial Sampling with Reverse State Reconstruction: A New Technique forBranchPredictorPerformanceEstimation,ProceedingsoftheFourthInternationalSymposiumonHigh---PerformanceComputerArchitecture(HPCA’98),Page:342,LasVegas,NV,February01–041998.

163. RaulsSilvera,JianWang,GuangR.GaoandRamaswamyGovindarajan,ARegisterPressureSensitiveInstructionSchedulerforDynamicIssueProcessors,ProceedingsoftheInternationalConferenceonParallelArchitectureandCompilationTechniques(PACT'97),SanFrancisco,CA,Nov.1997.

164. XinanTang,RakeshGhiya,LaurieJ.Hendren,andGuangR.Gao,HeapAnalysisandOptimizationsforThreadedPrograms,ProceedingsoftheInternationalConferenceonParallelArchitectureandCompilationTechniques(PACT'97),Pages:14---25,SanFrancisco,CA,Nov.1997.

165. Guang R. Gao and Vivek Sarkar, On the Importance of an End---To---End View of MemoryConsistency in Future Computer Systems, Proceedings of the 1997 International SymposiumonHighPerformanceComputing,Fukuoka,Japan,November1997.

166. Maria---DanaTarlescu,KevinB.Theobald, andGuangR.Gao,ElasticHistoryBuffer:ALowCostMethod to ImproveBranchPredictionAccuracy,Proceedingsof the InternationalConferenceonComputerDesign(ICCD'97),Pages:82---87,Austin,TX,Oct.1997.

167. XinanTang,JianWang,KevinBTheobald,andGuangR.Gao,ThreadPartitionandScheduleBasedonCostModel,Proceedingsofthe9thAnnualSymposiumonParallelAlgorithmsandArchitectures(SPAA),Pages:272---281,Newport,RI,July22,1997.

168. AngelaSodan,GuangR.Gao,OlivierMaquelin,Jens---UweSchultz,andXin---MinTian,Experienceswith Non---numeric Applications on Multithreaded Architectures, Proceedings of the 6th ACMSIGPLANSymposiumonPrinciplesandPracticeofParallelProgramming,LasVegas,NV,Pages:124---135,June1997.

169. ShashankNemawarkarandGuangR.Gao,Latencytolerance:AMetricforPerformanceAnalysisof

Page 33: GUANG R. GAO

MultithreadedArchitecture.Proceedingsofthe11thInternationalParallelProcessingSymposium,Pages:227---232,Geneva,Switzerland,Apr.1997.

170. Parimala Thulasiraman, Xinmin Tian, and Guang R. Gao, Multithreading Implementation of aDistributedShortestPathAlgorithmonEARTHMultiprocessor.Proceedingsof the InternationalConferenceonHighPerformanceComputing,Trivandrum,India,Pages:336---341,December1996.

171. Xinmin Tian, Shashank Nemawarkar, Guang R. Gao, et al., Quantitative Studies of Data LocalitySensitivity on the EARTH Multithreaded Architecture: Preliminary Results, Proceedings of theInternationalConferenceonHighPerformanceComputing,Trivandrum, India, Pages:362---367,December1996.

172. GuangR.Gao,KonstantinK.Likharev,PaulC.Messina,andThomasL.Sterling,HybridTechnologyMulti---threadedArchitecture,ProceedingsofFrontiers'96:TheSixthSymposiumontheFrontiersofMassivelyParallelComputation,Pages:98---105,Annapolis,Maryland,October1996.

173. LaurieJ.Hendren,XinanTang,YingchunZhu,GuangR.Gao,XunXue,HaiyingCai,andPierreOuellet,CompilingC for theEARTHMultithreadedArchitecture, Proceedings of the1996ConferenceonParallel Architectures and Compilation Techniques (PACT '96), Pages: 12---23, Boston,Massachusetts,IEEEComputerSocietyPress,October1996.

174. ErikR.AltmanandGuangR.Gao,OptimalSoftwarePipeliningThroughEnumerationofSchedules,ProceedingsofEuro---Par'96,Pages:833---840,Lyon,France,August1996.

175. VivekSarkar,GuangR.Gao, andShaohuaHan, LocalityAnalysis forDistributedSharedMemoryMultiprocessors, Proceedings of the Ninth Workshop on Languages and Compilers for ParallelComputing,Pages:20---40,SanJose,California,August1996.

176. JohnC.Ruttenberg,GuangR.Gao,ArtourStouchinin,andWoodyLichtenstein,SoftwarePipeliningShowdown: Optimal vs. Heuristic Methods in a Production Compiler, Proceedings of the ACMSIGPLAN '96ConferenceonProgrammingLanguageDesign and Implementation,Pages:1---11,Philadelphia,Pennsylvania,May1996.

177. OlivierMaquelin, GuangR. Gao,HerbertH. J. Hum,KevinB. Theobald, andXinminTian, PollingWatchdog: Combining Polling and Interrupts for EfficientMessageHandling, Proceedings of the23rdAnnual InternationalSymposiumonComputerArchitecture,pages178---188,Philadelphia,Pennsylvania,May1996.

178. VugranamC. Sreedhar, GuangR. Gao, andYongfong Lee, ANewFramework for Exhaustive andIncrementalDataflowAnalysisUsingDJgraphs,ProceedingsoftheACMSIGPLAN'96Conferenceon Programming Language Design and Implementation, pages 278---290, Philadelphia,Pennsylvania,May1996.

179. Jian Wang and Guang R. Gao, Pipelining---Dovetailing: A Transformation to Enhance SoftwarePipelining for Nested Loops, Proceedings of the 6th International Conference on CompilerConstruction, Lecture Notes in Computer Science, Linkoping, Sweden, Springer---Verlag, April1996.

180. Shashank Nemawarkar and Guang R. Gao, Measurement and Modeling of ARTH---MANNAMultithreaded Architecture. Proceedings of the Fourth International Workshop on Modeling,AnalysisandSimulationofComputerandTelecommunicationSystems,pages109---114,SanJose,California,IEEEComputerSocietyTCCAandTCS,February1996.

181. Ramaswamy Govindarajan, Erik R. Altman, and Guang R. Gao, Co---scheduling Hardware andSoftware Pipelines, Second International Symposium on High---Performance ComputerArchitecture,SanJose,California,February1996.

Page 34: GUANG R. GAO

182. RamaswamyGovindarajan,ErikR.Altman,andGuangR.Gao,InstructionSchedulinginthePresenceofStructuralHazards:AnIntegerProgrammingApproachtoSoftwarePipeline,ProceedingsoftheInternationalConferenceonHighPerformanceComputing,Goa,India,December1995.

183. LuisA.LozanoC.andGuangR.Gao,ExploitingShort---livedVariables inSuperscalarProcessors,Proceedingsofthe28thAnnualIEEE/ACMInternationalSymposiumonMicroarchitecture,pages292---302,AnnArbor,Michigan,November---December1995.

184. JackB.DennisandGuangR.Gao,OnMemoryModelsandCacheManagementforShared---memoryMulti---processors, Proceedings of Seventh IEEE International Symposium on Parallel andDistributedProcessing.IEEE,October1995.

185. OlivierMaquelin,HerbertH.J.Hum,andGuangR.Gao,CostsandBenefitsofMultithreadingwithOff---the---shelfRISCProcessors, Proceedings of theFirst InternationalEURO---PARConference,number966inLectureNotesinComputerScience,Pages:117---128,Stockholm,Sweden,Springer---Verlag,August1995.

186. ErikR.Altman,RamaswamyGovindarajan,andGuangR.Gao,AnExperimentalStudyofanILP---based Exact Solution Method for Software Pipelining, Proceedings of the 8th InternationalWorkshoponLanguagesandCompilersforParallelComputing,LectureNotesinComputerScience,Pages:2.1---2.15,Columbus,Ohio,Springer---Verlag,August1995.

187. Guang R. Gao and Vivek Sarkar, Location consistency: Stepping beyond thememory coherencebarrier,24thInternationalConferenceonParallelProcessing,Pages:II---73---II---76,UniversityPark,Pennsylvania,August1995.

188. RenhuaWen,GuangR.Gao,andVincentV.Dongen,TheDesignandImplementationoftheAccurateArray Data---flow Analysis in the HPC Compiler, Proceedings of High Performance ComputingSymposium'95,Canada'sNinthAnnualInternationalHighPerformanceComputingConferenceandExhibition,pages144---155,Montreal,Quebec,CentrederechercheinformatiquedeMontreal,July1995.

189. Nasser Elmasri, Herbert H. J. Hum, and Guang R. Gao, The Threaded Communication Library:Preliminary Experiences on a Multiprocessor with Dual---processor Nodes. ConferenceProceedings, 1995 IEEE/ACM International Conference on Supercomputing, Pages: 195---199,Barcelona,Spain,July1995.

190. HerbertH.J.Hum,OlivierMaquelin,KevinB.Theobald,XinminTian,XinanTang,GuangR.Gao,PhilCupryk,NasserElmasri,LaurieJ.Hendren,AlbertoJimenez,ShobaKrishnan,AndresMarquez,ShamirMerali,ShashankNemawarkar,PrakashPanangaden,XunXue,andYingchunZhu,ADesignStudy of the EARTH multiprocessor, Proceedings of the IFIP WG 10.3 Working Conference onParallelArchitecturesandCompilationTechniques,PACT'95,pages59---68,Limassol,Cyprus,ACMPress,June1995.

191. ErikR.Altman,RamaswamyGovindarajan,andGuangR.Gao,SchedulingandMapping:SoftwarePipelining in the Presence of Structural Hazards, ACM SIGPLAN Symposium on ProgrammingLanguageDesignandImplementation,Page139---150,June1995.

192. VugranamC.Sreedhar,GuangR.Gao,andYongfongLee,IncrementalComputationofDominatorTrees,ProceedingsoftheACMSIGPLANWorkshoponIntermediateRepresentations(IR'95),Pages:1---12,SanFrancisco,California,January22,1995.SIGPLANNotices,30(3),March1995.

193. GuyTremblayandGuangR.Gao,TheImpactofLazinessonParallelismandtheLimitsofStrictnessAnalysis,ProceedingsoftheHighPerformanceFunctional Computing Conference,Pages:119---133,Denver,Colorado,LawrenceLivermoreNationalLaboratory.CONF---9504126,April1995.

194. Vugranam C. Sreedhar and Guang R. Gao, A Linear Time Algorithm for Placing phi---nodes,

Page 35: GUANG R. GAO

ConferenceRecordofthe22ndACMSIGPLAN---SIGACTSymposiumonPrinciplesofProgrammingLanguages,Pages62---73,SanFrancisco,California,January1995.

195. KevinB.Theobald,HerbertH.J.Hum,andGuangR.Gao,ADesignFrameworkforHybrid---accessCaches. Proceedings of the First International Symposium on High---Performance ComputerArchitecture,Pages:144---153,Raleigh,NorthCarolina,January1995.

196. IvanKalas,EshratArjomandi,GuangR.Gao,BillO'Farrell,FTL:amultithreadedenvironmentforparallelcomputation,Proceedingsof the1994conferenceof theCentre forAdvancedStudiesonCollaborativeresearch,Page:33,Toronto,Ontario,Canada,1994.

197. GillesHurteau,VincentVanDongen,GuangR.Gao,EPPP---anintegratedenvironmentforportableparallelprogramming,Proceedingsofthe1994conferenceoftheCentreforAdvancedStudiesonCollaborativeresearch,Page:31,Toronto,Ontario,Canada,1994

198. GuoningLiao,ErikR.Altman,VinodK.Agarwal, andGuangR.Gao,AComparativeStudyofDSPMultiprocessor List SchedulingHeuristics, Proceedings of the 27th Annual Hawaii InternationalConferenceonSystemSciences,Kihei,Hawaii,1994.

199. RamaswamyGovindarajan,ErikR.Altman,andGuangR.Gao,MinimizingRegisterRequirementsunderResource---constrainedRate---optimalSoftwarePipelining,Proceedingsofthe27thAnnualIEEE/ACM International SymposiumonMicroarchitecture, Pages: 85 --- 94, San Jose, California,November---December1994.

200. Ramaswamy Govindarajan, Erik R. Altman, and Guang R. Gao, A Framework for Resource---constrained Rate---optimal Software Pipelining, Proceedings of the Third Joint InternationalConferenceonVectorandParallelProcessing (CONPAR94 ---VAPPVI),number854 inLectureNotesinComputerScience,Pages:640---651,Linz,Austria,Springer---Verlag,September1994.

201. RamaswamyGovindarajan,GuangR.Gao,andPalashDesai,MinimizingMemoryRequirementsinRateOptimalSchedules,Proceedingsofthe1994InternationalConferenceonApplicationSpecificArrayProcessors,Pages:75---86,SanFrancisco,California,IEEEComputerSociety,August1994.

202. Shashank Nemawarkar, Ramaswamy Govindarajan, Guang R. Gao, and Vinod K. Agarwal,PerformanceofInterconnectionNetworkinMultithreadedArchitectures,ProceedingsofPARLE'94---ParallelArchitecturesandLanguagesEurope,number817inLectureNotesinComputerScience,Pages:823---826,Athens,Greece,Springer---Verlag,July1994.

203. VincentVanDongen,ChristopheBonello,andGuangR.Gao,DataParallelismwithHighPerformanceC, Proceedings of Supercomputing Symposium ‘94, Canada’s Eighth Annual High PerformanceComputingConference,Pages:128---135,Toronto,Ontario,UniversityofToronto,June1994.

204. HerbertH.J.Hum,KevinB.Theobald,andGuangR.Gao,BuildingMultithreadedArchitectureswithOff---the---shelf microprocessors, Proceedings of the 8th International Parallel ProcessingSymposium,Pages288---294,Cancun,Mexico,IEEEComputerSociety,April1994.

205. ShashankNemawarkar,RamaswamyGovindarajan,GuangR.Gao,andVinodK.Agarwal,AnalysisofMultithreaded Multiprocessors with Distributed Shared Memory, Proceedings of the Fifth IEEESymposiumonParallelandDistributedProcessing,Pages:114---121,Dallas,Texas,December1993.

206. RamaswamyGovindarajanandGuangR.Gao,ANovelFrameworkforMulti---rateSchedulinginDSPApplications, Proceedings of the 1993 International Conference on Application Specific ArrayProcessors,Pages:77---88,Venice,Italy,IEEEComputerSociety,October1993.

207. GuangR.Gao,VivekSarkar,andLeliaA.Vazquez,BeyondtheDataParallelParadigm:IssuesandOptions,Proceedings---1993ProgrammingModelsforMassivelyParallelComputers,Pages:191---197,Berlin,Germany,IEEEComputerSocietyPress,September20---23,1993.

Page 36: GUANG R. GAO

208. Guang R. Gao, Qi Ning, and Vincent Van Dongen, Extending Software Pipelining Techniques forScheduling Nested Loops, Proceedings of the 6th International Workshop on Languages andCompilersforParallelComputing,number768inLectureNotesinComputerScience,Pages:340---357,Portland,Oregon,Springer---Verlag,August1993.

209. ErikR.Altman,VinodK.Agarwal,andGuangRGao,ANovelMethodologyUsingGeneticAlgorithmsfor the Design of Caches and Cache Replacement Policy, Proceedings of the 5th InternationalConferenceonGeneticAlgorithms,Pages:392---399.MorganKaufmannPublishers,Inc.,UniversityofIllinoisatUrbana---Champaign,July1993.

210. Kevin B. Theobald, Guang R. Gao, and Laurei J. Hendren, Speculative Execution and BranchPredictiononParallelMachines,ConferenceProceedings,1993IEEE/ACMInternationalConferenceonSupercomputing,Pages:77---86,Tokyo,Japan,July1993.

211. RobertK. Yates andGuangR. Gao,AKahnPrinciple forNetworks ofNonmonotonicReal---timeProcesses.ProceedingsofPARLE‘93---ParallelArchitecturesandLanguagesEurope,number694inLectureNotesinComputerScience,Pages:209---227,Munich,Germany,Springer---Verlag,June1993.

212. Herbert H. J. Hum and Guang R. Gao, Supporting a Dynamic SPMDModel in aMulti---threadedArchitecture,DigestofPapers,38thIEEEComputerSocietyInternationalConference,COMPCONSpring‘93,pp165---174,SanFrancisco,California,February1993.

213. Qi Ning and Guang R. Gao, A Novel Framework of Register Allocation for Software Pipelining,ConferenceRecordoftheTwentiethAnnualACMSIGPLAN---SIGACTSymposiumonPrinciplesofProgrammingLanguages,pp29---42,Charleston,SouthCarolina,January1993.

214. KevinB.Theobald,GuangR.Gao,andLaurieJ.Hendren,OntheLimitsofProgramParallelismandits Smoothability, Proceedings of the 25th Annual IEEE/ACM International Symposium onMicroarchitecture,Pages:10---19,Portland,Oregon,December1992.

215. VincentVanDongen,GuangR.Gao,andQiNing,APolynomialTimeMethodforOptimalSoftwarePipelining,ProceedingsoftheConferenceonVectorandParallelProcessing,CONPAR---92,number634 in Lecture Notes in Computer Science, Pages: 613---624, Lyon, France, Springer---Verlag,September1---4,1992.

216. JeanMerc.MontiandGuangRGao,EfficientInterprocessorSynchronizationandCommunicationon a Dataflow Multiprocessor Architecture, Proceedings of 1992 International Conference onParallelProcessing,Pages:I---220---224,St.Charles,IL,August1992.

217. Guang R Gao, Russell Olsen, Vivek Sarkar, and R. Thekkath, Collective Loop Fusion for ArrayContraction,Proceedingsof the 5thInternationalWorkshop on Languages andCompilers forParallel Computing, number 757 in Lecture Notes in Computer Science, Pages: 281---295, NewHaven,Connecticut,Springer---Verlag,August1992.

218. Laurie J. Hendren, Chris Donawa, Maryam Emami, Guang R. Gao, Justiani, Bhama Sridharan,Designing theMcCAT Compiler Based on a Family of Structured Intermediate Representations,Proceedingsofthe5thInternationalWorkshoponLanguagesandCompilersforParallelComputing,number 757 in Lecture Notes in Computer Science, Pages: 406---420, NewHaven, Connecticut,Springer---Verlag,August1992.

219. QiNing,GuangR.Gao,MinimizingLoopStorageAllocationforAnArgument---FetchingDataflowArchitecture Model, Proceedings of the 4th International PARLE Conference, Pages: 585---600,Paris,France,June15---18,1992.

220. ShashankS.Nemawarkar,RamaswamyGovindarajan,GuangR.Gao,VinodK.Agarwal,PerformanceEvaluationofLatencyTolerantArchitectures,ProceedingsofIEEEFourthInternationalConference

Page 37: GUANG R. GAO

onComputingandInformation(ICCI'92),Pages:183---186,Toronto,Ontario,Canada,May28---30,1992.

221. L.J.Hendren,G.R.Gao,Designingprogramminglanguagesforanalyzability:afreshlookatpointerdatastructures,Proceedingsofthe1992InternationalConferenceonComputerLanguages,Pages:242---251,Oakland,CA,USA,April20---23,1992.

222. G.R.Gao,R.Govindarajan,P.Panangaden,Well---behaveddataflowprogramsforDSPcomputation,ProceedingsofIEEEInternationalConferenceonAcoustics,Speech,andSignalProcessing(ICASSP---92),Vol.5,Pages:561---564March23---261992.

223. H.H.J.Hum,G.R.Gao,Efficient support of concurrent threads in ahybriddataflow/vonNeumannarchitecture, Proceedings of the Third IEEE Symposium on Parallel and Distributed Processing,Pages:190---193,Dallas,TX,USA,Dec.02---051991.

224. Kevin B. Theobald, Guang R. Gao, An efficient parallel algorithm for all pairs examination,Proceedings Supercomputing'91, Pages: 742---753, Albuquerque, NM, USA, November 18---22,1991.

225. GuangR.Gao,QiNing,LoopStorageOptimizationforDataflowMachines,ProceedingsoftheFourthInternationalWorkshoponLanguagesandCompilersforParallelComputing(LCPC’1991),Pages:359---373,SantaClara,California,USA,August7---9,1991.

226. Vivek Sarkar, Guang R. Gao, Optimization of array accesses by collective loop transformations,Proceedingsofthe5thInternationalConferenceonSupercomputing(ICS'1991),Pages:194---205,Cologne,Germany,June1991.

227. Guang R. Gao, Yue---Bong Wong, Qi Ning, A Timed Petri---Net Model for Fine---Grain LoopScheduling,ProceedingsoftheACMSIGPLAN'91ConferenceonProgrammingLanguageDesignandImplementation(PLDI),Pages:204---218,Toronto,Ontario,Canada,June26---28,1991.

228. HerbertH.J.Hum,GuangR.Gao,ANovelHigh---SpeedMemoryOrganizationforFine---GrainMulti---ThreadComputing,ParallelArchitecturesandLanguagesEurope,VolumeI:ParallelArchitecturesandAlgorithms,Pages:34---51,Eindhoven,TheNetherlands,June10---13,1991.

229. Guang R. Gao, Herbert H. J. Hum, Jean---Marc Monti, Towards an Efficient Hybrid DataflowArchitectureModel,ParallelArchitecturesandLanguagesEurope,VolumeI:ParallelArchitecturesandAlgorithms,Pages:355---371,Eindhoven,TheNetherlands,June10---13,1991.

230. Gao,G.R.Yates,R.K.Dennis,J.B.Mullin,L.M.R.,Astrictmonolithicarrayconstructor,ProceedingsoftheSecondIEEESymposiumonParallelandDistributedProcessing,Pages:596---603,Dallas,TX,USA,Dec.9---131990.

231. GuangR.Gao,HerbertH.J.Hum,Yue---BongWong,AnEfficientSchemeforFine---GrainSoftwarePipelining, Proceedings of Conference on Algorithms and Hardware for Parallel Processing(CONPAR’1990),Pages:709---720,Zurich,Switzerland,September10---13,1990.

232. Guang R. Gao, Herbert H. J. Hum, Yue---Bong Wong, Towards efficient fine---grain softwarepipelining,Proceedingsofthe4thInternationalConferenceonSupercomputing(ICS1990),Pages:369---379,Amsterdam,TheNetherlands,June11---15,1990.

233. G.R.Gao,Z.Paraskevas,Dataflowsoftwarepipelining:acasestudy,ProceedingsofNinthAnnualInternationalPhoenixConferenceonComputersandCommunications,Page:874,Scottsdale,AZ,USA,March21---231990.

234. G.R.Gao,H.H.J.Hum,Y.---B.Wong,Parallel function invocation inadynamicargument---fetchingdataflow architecture, Proceedings of International Conference on Databases, ParallelArchitecturesandTheirApplications(PARBASE---90),Pages:112---116,MiamiBeach,FL,USA,Mar.

Page 38: GUANG R. GAO

7---91990.

235. G.R. Gao, R. Tio, Instruction set architecture of an efficient pipelined dataflowarchitecture,ProceedingsoftheTwenty---SecondAnnualHawaiiInternationalConferenceonSystemSciences,1989.Vol.I:ArchitectureTrack,Pages:385---392,Kailua---Kona,HI,USA,Jan.03---061989.

236. GuangR.Gao,RenéTio,HerbertH.J.Hum,DesignofanEfficientDataflowArchitecturewithoutDataFlow, Proceedings of the International Conference on Fifth Generation Computer Systems(FGCS'1988),Pages:861---868,Tokyo,Japan,November28---December2,1988.

237. JackB.Dennis,GuangR.Gao,Anefficientpipelineddataflowprocessorarchitecture,ProceedingsofSupercomputing'88,Pages:368---373,Orlando,FL,USA,November12---17,1988.

238. G.R.GaoandS.J.Thomas,AnoptimalparallelJacobi---likesolutionmethodforthesingularvaluedecomposition,ProceedingsoftheInternationalConferenceonParallelProcessing,Pages:47–53,UniversityPark,PA,USA,August10---141988.

239. GuangR.Gao,APipelinedSolutionMethodofTridiagonalLinearEquationSystems,ProceedingofInternationalConferenceonParallelProcessing(ICPP'86),Pages:84---91,UniversityPark,PA,USA,1986.

240. JackB.Dennis,GuangR.Gao,MaximumPipeliningofArrayOperationsonStaticDataFlowMachine,Proceedings of International Conference on Parallel Processing (ICPP'83), Pages: 331--- 334,Columbus,Ohio,USA,1983.

Books/BookChapters/NotesSets(partiallist)

1. L.T.Yang, M. Guo, G. R. Gao, N. K. Jha, Proceedings of Embedded and Ubiquitous Computing,

InternationalConferenceEUC2004,Aizu---WakamatsuCity,Japan,August25---27,2004,LectureNotesinComputerScience,Vol.3207.Springer,2004.

2. B. Kleinjohann, G. R. Gao, H. Kopetz, L. Kleinjohann and A. Rettberg, Design Methods andApplications for Distributed Embedded Systems, IFIP International Federation for InformationProcessing,Vol.150.Springer2004.

3. HaiJin,GuangR.Gao,ZhiweiXu,HaoChen(Eds.),ProceedingsofNetworkandParallelComputing,IFIPInternationalConference,NPC2004,Wuhan,China,October18---20,2004,.LectureNotesinComputerScience3222.Springer,2004.

4. Guang R. Gao, Ken Arnold and Sudipto Ghosh, Java/Jini Technologies and High---PerformancePervasiveComputing,30Julyand1August2002,Boston,USA.

5. KrishnaPalem,GuangR.Gao,TrevorMudge,Proceedingsofthe2001InternationalConferenceonCompilers,Architecture,andSynthesisforEmbeddedSystems,Atlanta,Georgia,USA,November16---17,2001.

6. GuangR.Gao, J---L.Gaudiot,andL.Bic,editors,AdvancedTopics inDataflowandMultithreadedComputers.IEEEComputerSocietyPress,1995.

7. Michel Cosnard, Guang R. Gao, Gabriel M. Silberman, Parallel Architectures and CompilationTechniques:ProceedingsoftheIFIPWG10.3WorkingConference,PACT'94,Montreal,Canada,24---26August1994.

8. JackB.DennisandGuangR.Gao,MultithreadedArchitectures:Principles,Projects,andIssues,InRobertA.Iannucci,GuangR.Gao,RobertH.Halstead,Jr.,andBurtonSmith,editors,MultithreadedComputer Architecture: A Summary of the State of the Art, chapter 1, Pages: 1---72. KluwerAcademicPublishers,Norwell,Massachusetts,1994.

Page 39: GUANG R. GAO

9. RobertA.Iannucci,GuangR.Gao,RobertH.Halstead,Jr.,andBurtonSmith,editors,Multi---threadedComputerArchitecture:ASummaryoftheStateoftheArt.KluwerAcademicPublishers,Norwell,Massachusetts, 1994. Book contains papers presented at the Workshop on MultithreadedComputers,Albuquerque,NewMexico,November1991.

10. LenoreM. R.Mullin, Michael J. Jenkins, Gaétan Hains, Robert Bernecky and Guang Gao, Arrays,FunctionalLanguages,andParallelSystems,KluwerAcademicPublishers,Boston,Massachusetts,December1991.

11. Guang R. Gao, A Code Mapping Scheme for Dataflow Software Pipelining, Kluwer AcademicPublishers,Boston,Massachusetts,December1990.

C. Patents(partiallist)

1. Title:CodeletsetRepresentation,Manipulation,andExecution---Methods,SystemAndApparatus RishiKhan,DanielOrozco,GuangGao,KellyLivingston.Patentnumber:2012067688DateofPatent:May252012.

2. Title:Runspacemethod,systemand

apparatus RishiKhan,DanielOrozco,GuangR.Gao. PatentNo.US2011/0246823A1DateofPatent:Oct.6,2011

3. Title:Task---OrientedNode---CentricCheckpointing

(TONCC) RishiKhan,GuangR.Gao,AppersonH. JhonsonPatentNo.US2011/0246823A1DateofPatent:Oct.6,2011

4. Title:SystemsandMethodsforLogic

Verification GuangR.Gao,FeiChenPatentNo.US7.934.179B2DateofPatent:April26,2011

5. Title:MethodsandProductsforProcessingLoopNests

HongboRong,GuangR.Gao,AlbanDouillet,R.Govindarajan PatentNo.US7.631.305B2DateofPatent:Dec.8,2009

6. Title:Datadrivenlogicsimulationchipandtool

chain FeiChen,GuangR.GaoPatentNo.US2009/0222252A1DateofPatent:Sep3,2009

7. Title:MethodsandApparatusforemulationoflogiccircuits

HirofumiSakane,LeventYakay,VishalKarma,ClementLeung,GuangR.Gao.PatentNo.US7.356.454B2DateofPatent:April8,2008

8. Title:MethodforIntegratingSoftwarePipeliningwith

Multithreading GuangR.Gao,withHongboRong,AlbanDouillet,R.Govindarajan ProvisionalApplicationNo.:60/507,043

Page 40: GUANG R. GAO

FilingDate:Sept.29,2003USpatentapplicationpending

9. Title:MethodandApparatusforReal---Time

Multithreading GuangR.GaoandKevinTheobaldPatentNo.US2005/0188177A1DateofPatent:Aug.25,2005

10. Title:MethodAndApparatusForIterativeEmulationOfLargeLogicCircuits

Containing MultipleIdenticalLogicModulesGuangR.Gao,withHirofumiSakane,LeventYakay,VishalKarna,ClementLeungProvisionalApplicationNo.:60/512,376FiledDate:November17,2003USpatentapplicationpending

B.3: CONTRACTSANDGRANTS

GaohasbeenaPIorCo-PIofmayresearchprojectswithgovernmentsponsors(DoD,DOE,NSF,NIH,NASA,etc.),stategovernment,industry,etc.Thelistisomitted.

SectionC:Services

C.1: UNIVERSITY ACTIVITIES AND SERVICES(involved)

• Member,UndergraduateawardCommittee• Member,FacultyStrategicPlanningCommittee,ECEDept.• Member,FacultyRecruitCommittee• Chair,SecondaryAppointmentCommittee,ECEDept.• Member,Departmentrecruitingcommittee• Member,SecondaryAppointmentCommittee• Participatingfacultyretreatmeeting• Dean'sadhocgroupforsupercomputing• ParticipantEngineeringOutreachprogram• AdvisorintheuniversityUndergraduateResearchOpportunityprogram.• ChairingthedepartmentalCommitteeonPromotion&Tenure• CollegeElectionCommittee• Deansearchcommittee.• GraduatestudyandPhDexamrevisioncommittee• AdCommitteeofEducationalinBioinformatics.• DBIScientificCommittee.• ICRSScommittee(Instructional,ComputingandResearchSupportServicesCommittee).