2014 GenISys GmbH Lithography, Inc. 213 Brewer Science, Inc. Diode Corp. 219 Vistec Electron Beam...

24
Exhibition Guide www.spie.org/al Exhibition 25–26 February 2014 Conference & Courses 23–27 February 2014 Location San Jose Convention Center San Jose, California, USA Moving Technology to Market 2014

Transcript of 2014 GenISys GmbH Lithography, Inc. 213 Brewer Science, Inc. Diode Corp. 219 Vistec Electron Beam...

Exhibition Guidewww.spie.org/al

Exhibition25–26 February 2014

Conference & Courses 23–27 February 2014

Location San Jose Convention CenterSan Jose, California, USA

Moving Technology to Market™

2014

Super-fast Lithography Verification Through Process Window

+86 510 8115 6962 www.wxnanotech.com [email protected]

anoORC is a production-proven super-fast

lithography verification tool capable of full-chip

verification through process window. Its

GPU-based system achieves 10X speed up in verification

over traditional CPU based system. Its model accuracy

had been verified by its customer between 130nm and

28nm nodes. It can check the typical errors such as

bridging, approaching, broken, pinching, line-end

shortening, CD deviation, EPE, sidelobe, image-missing,

nils and meef. It can be run on either CPU cluster or GPU

cluster.

Assured Accuracy – Theoretical results match PROLITH 

– Calibrated model verified by Customers 

– Verification done between 130nm and 28 nm nodes 

– Calibration process requires no expertise in resist modeling. 

Super-fast Speed – Explores the massive parallelism in GPU 

– Achieves 10x in speed vs traditional CPU tools 

– Off‐the‐shelf NVIDIA GPU are used 

– GPU adds only 25% to total hardware cost 

– Traditional CPU cluster upgradable to GPU cluster 

Process-window Awareness – Verification at each of multiple process corners 

– Probe error for process corner and layout location 

– Verification on Process‐Variation‐Band (PVB) 

– Computes process window for multiple cutlines on a layout 

Convenient Error Analysis– Verification results filtered to retain only the worst errors 

– Results grouped by process corner, by error type and sorted by 

error value 

– Cross‐probing between reported error and layout 

– Statistical results such as histogram available 

Simple Calibration – No need to be a resist model expert 

– No setting of unfamiliar parameters 

– Just specify evaluation criteria and model form 

– Rest done by the software automatically 

Strong Utility Tools NanoViewer:   

– high‐capacity, high‐speed layout viewer 

– Speed is faster than some popular commercial viewer 

– View layout with or without verification results 

NanoProcWin: 

– High speed common process window calculator 

– Any layout with any number of cutlines 

– Optical model or calibrated model 

– GPU boosts speed 

Easy Deployment – Multi‐core workstation with or without GPU 

– Group of networked computers or   

– Computer cluster for distributed computation   

– On widely used Linux operating system   

Low Cost of Ownership – CPU version cost competitive on absolute term 

– GPU version cost competitive relative to performance 

– GPU hardware adds only 25% to total hardware cost

©2013 Wuxi Nanotech, Inc. All rights reserved.

N

SPIEAdvancedLithography2014 · www.spie.org/al ·+13606763290 · [email protected] 1

Forinformationaboutexhibiting,sponsorship,andadvertisingopportunitiesatfutureAdvancedLithographyevents,contact:[email protected]

ContentsSponsors . . . . . . . . . . . . . . . . . . . . . . . . . . . 2

ExhibitorIndex . . . . . . . . . . . . . . . . . . . . . . . 3

ExhibitionFloorPlan . . . . . . . . . . . . . . . . . . 3

AdvertisersIndex. . . . . . . . . . . . . . . . . . . . . 3

GeneralInformation. . . . . . . . . . . . . . . . . . . 4

CourseDailySchedule . . . . . . . . . . . . . . . . . 5

ExhibitorDirectory. . . . . . . . . . . . . . . . . 6–15

ProductCategories . . . . . . . . . . . . . . . 16–17

CorporateMembers . . . . . . . . . . . . . . . 18–20

SPIE would like to express its deepest appreciation to the symposium chairs, conference chairs, program committees, and session chairs who have so generously given their time and advice to make this symposium possible.

The symposium, like our other conferences and activities, would not be possible without the dedicated contribution of our participants and members. This program is based on commitments received up to the time of publication and is subject to change without notice.

AdvancedLithography2014PromotionalPartners

MEMs and Nanotechnology Exchange

Photonics Media

Photonics Online

Solid State Technology

Welcome

Sponsored by

2 SPIEAdvancedLithography2014 · www.spie.org/al ·+13606763290 · [email protected]

Thanks to the following sponsors for their generous support of SPIE Advanced Lithography

Advanced Etch Technology for Nanopatterning III Conference

www.lamresearch.com

Advances in Patterning Materials and Processes XXXI Conference

www.tokamerica.com

Attendee Lunch

www.dow.com

Banner

www.hitachi-hta.com

www.nikonprecision.com

Breakfast

www.kla-tencor.com

Coffee Breaks

www.asml.com

www.asml.com

www.nuflare.com

www.kla-tencor.com

Conference Bags

www.zeiss.com/sms

Conference Bag Pens

www.nikonprecision.com

Design-Process-Technology Co-optimization for

Manufacturability VIII Conference

www.hitachi-hta.com

Exhibition Bags

www.synopsys.com

Extreme Ultraviolet Lithography (EUV) V Conference

www.rigaku.com

Featured Exhibitor

www.tokamerica.com

www.trioptics.com

Hotel Room Key

www.cymer.com

Internet Pavilion

www.jeolusa.com

Lanyards

www.mentor.com

Logo - Online Floor Plan

www.zeiss.com/sms

www.tokamerica.com

www.trioptics.com

Meter Board

www.cadencedesign.com

www.nikonprecision.com

Metrology, Inspection, and Process Control for Microlithography XXVIII

Conference

www.novameasuring.com

Optical Microlithography XXVII Conference

www.cymer.com

Panel Discussions

www.kla-tencor.com

Plenary Session

www.asml.com

Poster Reception

www.azem.com

Poster Reception Cosponsored

www.tokamerica.com

SPIE Conference App

www.tel.com

Stairway Strips

www.hitachi-hta.com

Wi-Fi

www.tel.com

www.hitachi-hta.com

General RefreshmentsHitachi High Technologies America

Micro Lithography Inc.Rigaku Innovative Technologies, Inc.

SPIEAdvancedLithography2014 · www.spie.org/al ·+13606763290 · [email protected] 3

San Jose Convention Center · Exhibition Floor

203 205

100 102 104 106

323 325 327

222 226

303 305 307

200 206 208

211 213

110

217 219

116 118

231 233

130 132

223 225 227

122 124

101 105 111 113 115 117 121 123 131 133 137

Entrance

Exhibitor Service Area and Lounge

Lunch/Seating Area

Coffee Area

302 304 306 322 324 326 328 330

Entrance

308 310 316 318

331 333

230 232

Exhibitor IndexBooth# Exhibitor100 QoniacGmbH101 MitsuiChemicalsAmerica,

Inc.102 MentorGraphics104 SAESPureGas,Inc.105 SolidStateTechnology106 GudengPrecision

IndustrialCo.,Ltd.110 TokyoOhkaKogyo

America,Inc.(TOKAmerica)

111 abeamTechnologies,Inc.116 attocubesystemsInc.117 PhotonicsOnline118 SOKUDOCo.Ltd/

SCREEN/DNSElectronics121 XEIScientific,Inc.122 BenchmarkTechnologies123 PI(PhysikInstrumente)124 JSRMicro,Inc.

227 HalocarbonProducts230 BerlinerGlasKGaA

HerbertKubatzGmbH&Co.

230 SwissOpticAG231 PallCorporation232 IntegratedMicroMaterials233 MGNInternational,Inc.304 RigakuInnovative

Technologies,Inc.305 CyberOptics306 TRIOPTICSGmbH307 SumikaElectronic

Materials

130 Shin-EtsuMicroSi131 MEMS&Nanotechnology

Exchange132 ASML132 BrionTechnologies137 StorexTechnologies200 AmunealMfgCorp203 TokyoElectronLimited205 CarlZeissSMSGmbH206 Cadence208 GenISysGmbH211 MicroLithography,Inc.213 BrewerScience,Inc.217 OptoDiodeCorp.219 VistecElectronBeam

GmbH222 SynopsysInc.223 Pozzetta,Inc.225 OwensDesign,Inc.226 ZygoCorporation

308 InkoIndustrialCorp.310 EnergetiqTechnology,Inc.316 IbssGroup,Inc.318 IrresistibleMaterialsLtd.322 microresisttechnology

GmbH323 MicroChemCorp.324 SageDesignAutomation325 PhotonicsMedia326 J.A.WoollamCo.,Inc.327 NuFlareTechnology,Inc.328 ExogenesisCorporation331 RaithAmerica,Inc.333 SwissLithoAG

Advertisers IndexKLA-Tencor Corp. . . . . . . . . . . . . . . . . . . p.20Nano-Master, Inc.. . . . . . . . . insidebackcoverPhotonics Online . . . . . . . . . . . . . . . . . . . . p.9Wuxi Nanotech, Inc. . . . . . . . .insidefrontcover

4 SPIEAdvancedLithography2014 · www.spie.org/al ·+13606763290 · [email protected]

General Information

Exhibition HoursTuesday . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10:00amto5:00pm

Wednesday. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10:00amto4:00pm

Onsite Registration/Badge Pick-Up Hours San Jose Convention Center

Sunday23February . . . . . . . . . . . . . . . . . . . . . . . 7:00amto5:00pm

Monday24February . . . . . . . . . . . . . . . . . . . . . . 7:00amto4:00pm

Tuesday25February . . . . . . . . . . . . . . . . . . . . . . 7:30amto5:00pm

Wednesday26February. . . . . . . . . . . . . . . . . . . . 7:30amto4:00pm

Thursday27February . . . . . . . . . . . . . . . . . . . . . 7:30amto4:00pm

Coffee BreaksServeddaily-7:30am,10:00am,and3:00pm

Checkindividualconferencelistingsforexacttimesandlocations.

Sponsored by and

Breakfast BreadsConvention Center, Ballroom Concourse

Monday–Thursday,7:30am

Sponsored by

Food and Refreshments for PurchaseExhibition Hall Concession Stand

Tuesday–Wednesday,11:00amto2:00pm

Hot and cold snacks, hot entrees, deli sandwiches, salads, andpastriesareavailableforpurchase.Cashandcreditcardsaccepted.

SPIE-Hosted Lunches Exhibition Hall

Tuesday–Wednesday,11:30amto1:30pm

Checkindividualconferencelistingsforexacttimes.Complimentarytickets for these lunches will be included with full conferenceregistrations.ExhibitorsandstudentsmaypurchaseticketsattheCashierDesk.

Tuesday Lunch sponsored by

Desserts Exhibition Hall

Tuesday–Wednesday

Complimentary tickets for thedessertsnackswillbe included inconferenceattendeeregistrationpackets.

Sponsored by and

Internet AccessLocation of Internet - Convention Center Ballroom Concourse

Complimentarywired internetaccess isavailable;attendeescanhookuptheirlaptopsoruseprovidedworkstations.

Internet Pavilion sponsored by

WiFi –Convention Center Upper Level Concourse

Complimentary wireless access is available; instructions will bepostedonsite.

East End WiFi sponsored by

West End WiFi sponsored by

SPIE BookstoreConvention Center Lobby near Registration

The SPIE Bookstore is your source for the latest SPIE Books,Proceedings, and Education and Professional Developmentmaterials.BecomeanSPIEMember,exploretheDigitalLibrary,takehomeafreeSPIEposter,orbuyasouvenir(tie,t-shirt,educationaltoys,andmore).

Underage Persons on Exhibition Floor PolicyForsafetyandinsurancereasons:• Nopersonsundertheageof18willbeallowedintheexhibitionarea

duringmove-inandmove-out.• Children14andolder,accompaniedbyanadult,willbeallowedin

theexhibitionareaduringopenexhibitionhoursonly.• Allchildrenyoungerthan14,includingbabiesinstrollersandtoddlers,

arenotallowedintheexhibitionareaatanytime.

Unauthorized Solicitation PolicyUnauthorized solicitation in the Exhibition Hall is prohibited. Anynon-exhibitingmanufacturerorsupplierobserved tobedistributinginformationorsolicitingbusinessintheaisles,orinanothercompany’sbooth,willbeaskedtoleaveimmediately.

Restaurant Reservations and Information Desk TheSanJoseConventionandVisitorsBureauoperatesaRestaurantReservations and Information Desk on the street level of theConventionCenternearthemainentrance.ThedeskwillbeopenSundaythroughThursdayduringcorehoursoftheconvention.Formoreinformationvisittheirwebsitehttp://www.sanjose.org

SPIEAdvancedLithography2014 · www.spie.org/al ·+13606763290 · [email protected] 5

Money-back GuaranteeWeareconfidentthatonceyouexperienceanSPIEcourseforyourselfyouwilllooktousforyourfutureeducationneeds.However,ifforanyreasonyouaredissatisfied,wewillgladlyrefundyourmoney.Wejustaskthatyoutelluswhatyoudidnotlike;suggestionsforimprovementarealwayswelcome.

Courses at SPIE Advanced LithographyRelevanttraining|Proveninstructors|Educationyouneedtostaycompetitiveintoday’sjobmarket

· Choosefrom13coursesandlearncurrentapproachesinEUVlithography,DSA,opticallithography,FEOL/MOL/BEOLlithography,andmore

· SPIEStudentMembersreceive50%offcourseregistration

· Newcoursesin2014includeMetrologyToolsetMonitoring,Matching,MaintenanceandManagement,andModelingandComputationalLithographyFundamentals

· EarnCEUstofulfillcontinuingprofessionaleducationrequirements

www.spie.org/education

Continuing Education UnitsSPIEhasbeenapprovedasanauthorizedproviderofCEUsbyIACET,TheInternationalAssociationforContinuingEducationandTraining(Provider#1002091).Inobtainingthisapproval,SPIEhasdemonstratedthatitcomplieswiththeANSI/IACETStandardswhicharewidelyrecognizedasstandardsofgoodpractice.SPIE reserves the right to cancel a course due to insufficient advance registration.

SUNDAY MONDAYSC1099Chemistry and Lithography (Okoroanyanwu)8:30amto5:30pm,$600/$710

SC1009Electron Beam Inspection - Principles and Applications in IC and Mask Manufacturing(Xiao)8:30amto12:30pm,$375/$430

SC888EUV Lithography (Bakshi,Ahn,Naulleau)8:30amto5:30pm,$830/$940

SC101 Introduction to Microlithography: Theory, Materials, and Processing(Willson,Bowden)8:30amto5:30pm,$600/$710

SC116Lithographic Optimization: A Theoretical Approach(Mack)8:30amto5:30pm,$650/$760

SC992 Lithography Integration for Semiconductor FEOL & BEOL Fabrication(Lin,Zhang)8:30amto5:30pm,$600/$710

SC1133Metrology Toolset Monitoring, Matching, Maintenance and Management(Solecky,Adan)8:30amto12:30pm,$375/$430

SC1132Modeling and Computational Lithography Fundamentals (Lai)8:30amto5:30pm,$600/$710

SC885Principles and Practical Implementation of Multiple Patterning (Dusa,Hsu)8:30amto5:30pm,$600/$710

SC1067Directed Self Assembly and its Application to Nanoscale Fabrication(dePablo,Nealey,Ruiz)1:30to5:30pm,$375/$430

SC1100Scatterometry in Profile, Overlay and Focus Process Control (Cramer,Turovets)1:30to5:30pm,$375/$430

SC1030 Interaction of Physical Design and Lithography (Yuan)1:30to5:30pm,$375/$430

THURSDAYSC616Practical Photoresist Processing(Dammel)8:30amto12:30pm,$375/$430

Register now for courses at the SPIE Cashier Desk.

6 SPIEAdvancedLithography2014 · www.spie.org/al ·+13606763290 · [email protected]

2014 Advanced LithographyExhibition Directory

Exhibitorsarelistedinalphabeticalorderwithdetailsaboutproductsorserviceseachisexhibiting.Companiesareadditionallycross-indexedbytechnologyareas.Theaddressofeachexhibitorisalsolisted,makingthisExhibitionGuideanexcellentreferencetooltotakebacktoyourofficeandsharewithyourcolleagues.

aBeam Technologies, Inc. #11122290FoothillBlvd.Ste2,Hayward,CA,[email protected];www.abeamtech.com

Featured Product: myCD - model based software to automatically extract contours and CDs from SEM images

aBeamTechnologiesInc.developssoftwaretosimulateandoptimizemicroandnano-fabricationprocesses:CD-metrology,e-beamlithography,anddryetch.myCDisamodelbasedsoftwaretoautomaticallyextractcontours,CDs,LER,wallanglesfromSEMimages.CHARIOTistheworld’smostadvancedMonteCarlosoftwaretocalibrateandoptimizeCD-SEMandEBL.DISPLACEisasoftwaretocorrectchargingplacementerror.Otherproductsinvolvecalibrationchipsfore-beamsystemsandCD-SEM.Contact:SergeyBabin,[email protected]

Amuneal Mfg Corp #2004737DarrahSt,Philadelphia,PA,19124UnitedStates+12155353000;[email protected];www.amuneal.com

Featured Product: Magnetic Shielding Design, Fabrication and Hydrogen Annealing

Since1965,AmunealMfgCorphasprovidedmagneticshieldingsolutionstoindustryandresearch.Weprovidemagneticshieldingdesign,modeling,fabricationandHydrogenannealingforprototypeandfullproductionquantities.Contact:StuartKoch,VicePresident,TechnicalProducts,[email protected];MichaelAdolf,Manager,TechnicalProducts,[email protected]

Coffee Break, Plenary Sponsor

ASML #132

2650WestGeronimoPlace,Chandler,AZ,[email protected];www.asml.comASMListheworld’sleadingprovideroflithographysystemsforthesemiconductorindustry,manufacturingcomplexmachinesthatarecriticaltotheproductionofintegratedcircuitsorchips.HeadquarteredinVeldhoven,theNetherlands,ASMListradedonEuronextAmsterdamandNASDAQunderthesymbolASML.

attocube systems Inc. #116

1918MartinLutherKingJrWay,Berkeley,CA,[email protected];www.attocube.comattocubeisaworldwidesupplierforultra-precisionnanopositionerandmulti-axesinterferometricsensors.WiththeintroductionoftheIndustrialLineseries,attocubeoffershighestprecisionpiezodrivetechnologywithextremelyruggedyetcostefficientdesign.attocube’spatentedfiberinterferometertechnologyisbeingusedinvariousapplicationssuchasextremeenvironmentalpositioningormicromachining.

SPIEAdvancedLithography2014 · www.spie.org/al ·+13606763290 · [email protected] 7

Benchmark Technologies #1227KimballLane,Lynnfield,MA,01940UnitedStates+17812463303;[email protected];www.benchmarktech.com

Featured Product: OmniMatch - Litho Tool Overlay Optimization and Monitoring Software

Benchmarksuppliesavarietyoftestreticlesforyieldenhancement,throughputimprovementandprocessmonitoring.Benchmark’sUniversalMatchingandPhaseShiftFocusMonitorreticlescontinuetobetheindustrystandards.Reticlesarealsoavailableforlithographytoolresolutionassessment,defectmonitoring,exposuredoseoptimizationandalignmentmarkevaluation.Benchmarkalsoprovideselectrostaticmeasurementsystemsforreticlesandoverlaymonitoringandanalysissoftware.Contact:AndrewZanzal,VicePresident-Sales,[email protected];PatrickReynolds,President,[email protected]

Berliner Glas KGaA Herbert Kubatz GmbH & Co. #230

WaldkraiburgerStr5,Berlin,12347Germany+4930609050;[email protected];www.berlinerglas.com

Featured Product: Permitting the Smallest Structures.

BerlinerGlasoffersthesemiconductorindustryultra-fastchuckingsolutionsforthehighestyieldinhigh-performanceapplications,withextremelyhighflatnessandverylowdimensionalvariationsinparallelism.ThemainadvantagesofthechucksandclampsthataremadeatBerlinerGlasincludeintegratedcoolingandheatingaswellasoneortwosidedclampingwithcustomizedstructurestomeettheperformancecriteriaforcuttingedgesemiconductorapplications.Contact:KevinLiddane,DirectorofSales-NorthAmerica,[email protected];BeateBaumgarten,AccountManager,[email protected]

Brewer Science, Inc. #213

2401BrewerDr,Rolla,MO,65401-7003UnitedStates+15733640300;[email protected];www.brewerscience.comSince1981,whenitsARC®materialsrevolutionizedlithographyprocesses,BrewerSciencehasexpandeditstechnologytoincludeproductsenablingadvancedlithography,thinwaferhandling,3-Dintegration,chemicalandmechanicaldeviceprotection,andproductsbasedoncarbonnanotubesandnanotechnology.

Brion Technologies #1324211BurtonDr,SantaClara,CA,95054UnitedStates+14086531500;[email protected];www.brion.com

Exhibitor Directory

Meter Board Sponsor

Cadence #2062655SeelyAve,SanJose,CA,95134-1931UnitedStates+14089431234www.cadence.com

Conference Bag, Online Floor Plan Sponsor

Carl Zeiss SMS GmbH #205CarlZeissPromenade10,Jena,[email protected];www.zeiss.com/sms

Featured Product: New repair tool MeRiT® HR II, registration & overlay metrology system PROVE® Compact, WLCD for CD

TheZEISSstrategicbusinessunitSemiconductorMetrologySystems(SMS)specializesinakeyprocessinsemiconductormanufacturing:verifyingandrepairingphotomasks.Withcorecompetencesinlightandelectronopticsaswellasauniquefemtosecondlasertechnology,SMSoffersitsclientsproductsforassessingdefectsonmasks,repairingcriticaldefects,verifyingtherepairresultsanddedicatedmetrologysolutionsforphotomasks.Contact:JamesPolcyn,SalesManager,[email protected]

CyberOptics #3055900GoldenHillsDr,Minneapolis,MN,55416UnitedStates+16125425000www.cyberoptics.com

Energetiq Technology, Inc. #310

7ConstitutionWay,Woburn,MA,01801-1024UnitedStates+17819390763;[email protected];www.energetiq.comEnergetiqTechnology,Inc.istheleadingmanufacturerofhigh-reliability,stablelightsourcesforadvancedlithography.Energetiq’sEQ-10ElectrodelessZ-Pinch™EUVSourceisthemarket-leadingsourceforEUVmetrologyandtestingapplications,andEnergetiq’suniqueLaser-DrivenLightSource(LDLS™)productsfeaturebroadbandoutputfrom170nminthedeepUV,throughvisibleandintotheinfrared,forresistandopticstesting.Formoreinformation,visitwww.energetiq.com.

Exogenesis Corporation #32820FortuneDr,Billerica,MA,01821UnitedStates+19784390120;fax+19784390220www.exogenesis.us

Featured Product: Proprietary nano-scale surface modification and surface control technologies.

Exogenesis’uniquenon-contactbeamtechnologypromotessignificantadvancesinproducingangstromlevelsmoothing,removalofnano-scaleasperities,pits,andcontaminants,reducesoreliminatessubsurfacedamage,andhasbeenusedtoproducesuperiorEUVmaskblanks,ultra-thincarbonfilmsandultra-shallowsurfacedoping.ExogenesisCorporation–www.exogenesis.us.Contact:ArthurKurz,ChiefCommercialOfficer,[email protected];RichardSvluga,CEO,[email protected]

8 SPIEAdvancedLithography2014 · www.spie.org/al ·+13606763290 · [email protected]

Exhibitor Directory

GenISys GmbH #208Eschenstr66,TaufkirchenMuenchen,[email protected];www.genisys-gmbh.com

Featured Product: LAB All-in-One Lithography Simulation. Enable next generation products and faster development cycles

BasedinMunich,Germany,withofficesinTokyo,JapanandSantaClara,California,GenISysdevelops,marketsandsupportsflexible,high-performancesoftwaresolutionsfortheoptimizationofmicroandnanofabricationprocesses.Addressingthemarketforlithographyandinspection,GenISyscombinesdeeptechnicalexpertiseinlayoutdataprocessing,processmodeling,correctionandoptimizationwithworldclasssoftwareengineeringandastrongfocusoneaseofuse.Contact:RogerMcCay,SalesDirectorNorthAmerica,[email protected];NezihUnal,VicePresident,[email protected]

Gudeng Precision Industrial Co., Ltd. #106

9F.,No.2,Sec.4,ZhongyangRd,TuchengDist.,NewTaipeiCity,23678Taiwan+886222689141;[email protected];www.gudeng.com

Featured Product: - Extreme Ultraviolet(EUV) Reticle POD

-ReticleSMIFPod(RSP150/200)-MaskPackageBasedon“thespiritoftheManufacturingServiceIndustry”,Gudengispositionedas“globalinnovationcriticalmaterialtechnologyprovider”.Weprovidecustomerswithourmanufacturingandconsultingservicetosolvetheircurrentandpotentialproblems.WeexpectallcustomerstohavearemarkableandunforgettableexperiencewithGudeng.Wewillcontinuetobe“thefirst-choicepartnerwithgloballeadingsemiconductorcompaniesbyofferinginnovativetechnologyofcriticalmaterials.”Contact:IrisLiu,SectionManager,[email protected];PeterChang,SalesManager,[email protected]

Halocarbon Products #227

887KinderkamackRd,RiverEdge,NJ,07661UnitedStates+12012628899;[email protected];www.halocarbon.com

Featured Product: Hexafluoroacetone Derivatives

Forover60years,Halocarbonhasfocusedsolelyonfluorochemistry.Halocarbonmanufacturesaliphaticfluorochemicalsandotherspecialtyfluorochemicals.

Ibss Group, Inc. #316

1559BSloatBlvdSte270,SanFrancisco,CA,94132-1222UnitedStates+14155665774;[email protected];www.ibssgroup.com

Featured Product: GV10x Asher is a unique source that produces high power and low pressure plasma operation.

In2007ibssGroupbegancooperatingwithaninventorofaunique,patentedICplasmasource.InthattimeibssdevelopedandproducedtheGV10x,nextgenerationinsitudownstreamasher.CompetitivelypricedtheGV10xDownstreamAsherreducescarbon&hydrocarbon[HC]contamination10to20timesmoreeffectivelythantraditionalmethodsatvacuumpressuresafeforTMPoperation.Contact:ElenaGrankina,officemanager,[email protected];VincentCarlino,president,[email protected]

Inko Industrial Corp. #308695VaquerosAve,Sunnyvale,CA,94085UnitedStates+14088301040;[email protected];www.pellicle-inko.com

Featured Product: Pellicle

INKO,aU.S.basedcompany,manufacturesacompletelineofpelliclesforapplicationsrangingfromASICproductiontohighvolumememoryproduction.From248nm/193nmDUVlithographytoI/Glinelithography,wehavetherightpelliclesforyourneeds.Contact:JoeMac,customerservicemanger,[email protected];FengYe,technicalsupport,[email protected]

Integrated Micro Materials #2328141GatewayDrSte240,Argyle,TX,76226UnitedStates+19402282219;[email protected];www.imicromaterials.com

Irresistible Materials Ltd. #318SA1SwanseaWaterfront,LangdonHouse,LangdonRd,SwanseaWales,SA18QYUnitedKingdom+447766332237www.irresistiblematerials.com

J.A. Woollam Co., Inc. #326645MStSte102,Lincoln,NE,68508-2243UnitedStates+14024777501;[email protected];www.jawoollam.com

Featured Product: Spectroscopic Ellipsometers

TheJ.A.WoollamCompanyoffersawiderangeofspectroscopicellipsometersfornondestructivematerialscharacterization,includingthinfilmthickness(singleandmultilayer),opticalconstants,composition,growth/etchrates,andmore.Instrumentsavailableforresearchandmanufacturingmetrologycoveringspectralrangesfromvacuumultra-violettofarinfrared.Offeringtable-top,in-line,andin-situmodels.

SPIEAdvancedLithography2014 · www.spie.org/al ·+13606763290 · [email protected] 9

2591 Wexford Bayne, Rd.Building 2, Suite 305Sewickley, PA 15143PH: (215) 675-1800 ext. [email protected]

Register for our free e-newsletter at www.photonicsonline.com.

Photonics Online is the leading online community and industry resource for optics and photonics professionals.

Please check out our sister site Semiconductor Online and register for the free weekly e-newsletter at www.semiconductoronline.com.

Visit Advanced Lithography exhibit space 113 for afree copy of our Photonics West 2014 Product Showcase.

10 SPIEAdvancedLithography2014 · www.spie.org/al ·+13606763290 · [email protected]

Exhibitor Directory

JSR Micro, Inc. #124

1280N.MathildaAve.,Sunnyvale,CA,94089UnitedStates+14085438800;[email protected];www.jsrmicro.com

Featured Product: Leading-edge photoresists, developers, packaging materials and specialty chemicals.

JSRMicro,Inc.manufacturestheindustry’smostinnovativefamilyofphotoresists,developers,andspecialtychemicalsfortrilayer,immersionmaterials,andchemicalshrink,fromthecompanythatperfectedtheartofcustomercollaboration.JSRisalsoaleaderinmaterialsforpackaging.OuruniqueTHBseriesofnegativetoneresistsandWPRseriesofdielectricmaterialsareidealfornextgenerationWL-CSP(waferlevelchipscalepackaging)technologiesthatfacilitatehigherperformance.Contact:JSRMicro,Inc.,[email protected]

Promotional Partner

MEMS & Nanotechnology Exchange #1311895PrestonWhiteDrSte100,Reston,VA,20191UnitedStates+17032625368;[email protected];www.mems-exchange.org

Featured Product: MEMS and Nanotechnology device design and fabrication services.

TheMEMSandNanotechnologyExchange(MNX)hasbeenprovidingdesignandfabricationservicesfornearly15years.OurteamofPhD-levelMEMSengineersoffersacompleterangeoftechnicalexpertisetoassistourcustomersatanyprojectphase,includingdevicedesign,prototypefabrication,low-volumemanufacturing,andtransitiontohigh-volumeproduction.Contact:ChristyShort,BusinessManager,[email protected]

Mentor Graphics #102

8005SWBoeckmanRd,Wilsonville,OR,97070-7777UnitedStates+15036857000www.mentor.com

MGN International, Inc. #23341984RioNedoSte200,Temecula,CA,92590UnitedStates+19517192910;[email protected];www.mgnintl.com

General Refreshment Sponsor

Micro Lithography, Inc. #2111257ElkoDrive,Sunnyvale,CA,94089UnitedStates+14087471769;fax+14087471978www.mliusa.com

Featured Product: Pellicles

MLIisfeaturingpelliclesformulatedtoyieldhighratesoftransmissionandlonglifetimesforUVexposure.Ourcompletelineofpelliclefilmsrangesfrombroadband,g-/i-linetoDUV(KrF-248nmandArf-193nm).MLI’sDUVpellicleshavethelowestoutgassingmaterialsavailableinthemarkettoday.Contact:KevinDuong,CustomerServiceManager,[email protected];DianaTjin,SalesAdministrativeManager,[email protected]

micro resist technology GmbH #322KöpenickerStr.325,Berlin,12555Germany+4930641670100;[email protected];www.microresist.de

Featured Product: DUV- & E-beam resists, Polymers for NIL, Photoresists & special Photopolymers, Hybrid Polymers

ThemicroresisttechnologyGmbHdevelops,produces&salesphotoresists&specialpolymers:DUV-&E-beamresists,PolymersforNIL,Photoresists&PhotopolymersforUV-,Laser-&X-raylithographie,andHybridPolymersforopticalapplications.Furthermore,technicalservicesareofferedstartingfromstructuringofcustomer`ssubstratestotheintroductionofMRTproductsattheproductionon-site.Contact:ArneSchleunitz,TechnicalManagement,[email protected]

MicroChem Corp. #32390OakSt.,POBox426,Newton,MA,02464UnitedStates+16179655511;[email protected],aleadingmanufacturerofelectronicmaterials,focusesonprovidingchemicalssolutionstotheMEMSandmicroelectronicmarkets,specializinginphotoimagableepoxyresists,suchasourSU-8products,widelyusedinpermanentstructuralapplicationsincludingthefabricationofmicrofluidic,sensor,opticalanddisplaydevices.OurPMGIresistsareusedinhighyield,thickmetallift-offprocesses,aswellasasacrificiallayerinairbridgeandother3-Dfabricationprocesses.Contact:JaniceCollins,[email protected]

SPIEAdvancedLithography2014 · www.spie.org/al ·+13606763290 · [email protected] 11

Exhibitor Directory

Mitsui Chemicals America, Inc. #1012099GatewayPlSte300,SanJose,CA,95110-1017UnitedStates+14084872891;fax+14084530684www.mitsuichemicals.com

Featured Product: Mask Pellicle

Since1986,Mitsuihasbeentheindustryleaderinprovidingpelliclestothesemiconductorindustry.Mitsui’sISO9001certifiedfullautomatedplantproducesMitsuiPellicle,whichtransmitsmorethan99%ofexposedlightwithexcellentuniformityandlongevity.MitsuiPellicle,manufacturedbyrigorousselectionofallmaterialsandwithmorethan20yearsaccumulatedexpertiseofnon-duststructure,contributestomaximumproductionyieldsbyeliminatingpelliclerelatedparticlegenerationsContact:HiromiTsuboi,SalesManager,[email protected];MasanariKitajima,GeneralManager,[email protected]

Coffee Break Sponsor

NuFlare Technology, Inc. #3278-1Shin-Sugita-Cho,Isogo-Ku,Yokohama-shiKanagawa-ken,235-0032Japanwww.nuflare.co.jp

Opto Diode Corp. #217

750MitchellRd,NewburyPark,CA,91320-2213UnitedStates+18054990335;[email protected];www.optodiode.com

Featured Product: Semiconductor devices that detect photons in the UV range, Deep UV and X-rays range.

ITW/OptoDiode-IRDdelivershigh-performance,standardandcustomphotodetectors,andreliable,highquality,standardandcustominfraredandvisibleLEDs.Thecompany,withtherecentacquisitionofInternationalRadiationDetectors,alsodesignsandmanufacturessemiconductorradiationdevicesthatdetectphotonsintheUVrange,X-rays,andotherhighenergyparticles.Contact:StanDuda,NationalSalesManager,[email protected];DejanJovanovic,Product/ApplicationEngineer,[email protected]

Owens Design, Inc. #22547427FremontBlvd,Fremont,CA,94538-6504UnitedStates+15106591800;[email protected];www.owensdesign.com

Featured Product: Equipment Design and Build Services

OwensDesignisaninnovativeandreliabledesignandmanufacturingservicescompanythatspecializesinprovidingcomplexcapitalequipmenttocompaniesintheadvancedtechnologyindustries.Weworkwithbothoriginalequipmentmanufacturers(OEMs)andfactoryenduserstodevelopcapitalequipmentthroughcollaborativepartnership.OwensDesignprovidescompleteturnkeycapitalequipmentsystems,subsystemmodulesandmajorequipmentsubassemblies.Contact:LaurenRavenscroft,NationalSalesManager,[email protected];MarkDanna,VPSalesandMarketing,[email protected]

Pall Corporation #23125HarborParkDrive,PortWashington,NY,[email protected];www.pall.com

Featured Product: The Xpress EZD filter offers guaranteed low metal, particle and organics contributions

PallwillintroducetheXpressEZDfilterdesignedforthemostadvancedpatterningapplications.ThenewXpress™EZDfilterrepresentsoneofthecleanestpoulithographyfiltersavailable.Palloffersacompletelineoffiltration,purificationandseparationtechnologiesforliquidsandgasesusedinlithographyapplications.Pallhasdemonstratedthattheseinnovativetechnologiescansignificantlyreduceddefectivity.FindouthowPallcanhelpyouimproveyourlithographyprocess.Contact:MichaelMesawich,VPMarketing,[email protected]

Promotional Partner

Photonics Media #325

100WestSt2ndFloor,Pittsfield,MA,01202UnitedStates+14134990514;[email protected];www.photonics.com

Featured Product: Our newest publication, Industrial Photonics - A global resource on photonics in production.

Wehavealottocelebratethisyear;OurPhotonicsBuyers’Guideis60yearsold,ourwebsitehasafreshnewdesign,andweintroduceournewestpublication,IndustrialPhotonics.Cometobooth325tosubscribetooneourindustryleadingpublications.PhotonicsMedia-thePulseoftheIndustry.Contact:KarenNewman,GroupPublisher,[email protected];KenTyburski,DirectorofSales,[email protected]

Promotional Partner

Photonics Online #1172591Wexford-BayneRdSte305,BldgII,Sewickley,PA,15143-8676UnitedStates+17249407555;[email protected];www.photonicsonline.com

Featured Product: Sign up for our FREE E-Newsletters at www.photonicsonline.com and www.semiconductoronline.com.

PhotonicsOnline--WheretheFutureofPhotonicsisFound--istheleadingonlinecommunityandindustryresourceforopticsandphotonicsprofessionals.LaunchedOctober1,1997,PhotonicsOnlineservestheneedsofdesignandopticalengineers,researchers,scientists,andbusinessexecutivesinmarketssuchasmedicalimaging,R&D,military/defense,commercialapplications,andmanyothers.Ourengagingcontentincludeswhitepapers,technicalarticles,productupdates,andbreakingnews.Contact:GeoffreyTecza,DirectorofSales&Publisher,[email protected];JoshButia,WebContentSpecialist,[email protected]

12 SPIEAdvancedLithography2014 · www.spie.org/al ·+13606763290 · [email protected]

Exhibitor Directory

PI (Physik Instrumente) #123

16AlbertSt,Piezo,Micro&NanopositioningProducts,Auburn,MA,01501UnitedStates+15088323456;[email protected];www.pi.ws

Featured Product: Ultra-Precision Mag-Lev Driven 6-Axis Positioning System

PIandPImiCosareleadersinsub-nanometerprecisionmotioncontrolandpiezoceramicnanopositioningstages,ProductsforOEM&research.ISO9001,ITARcompliant,UScustomdesign.PRODUCTS:Non-MagneticPositioners,UHV/EUVCompatiblePositioners,PiezoStages,MicroscopeStages;NanopositioningSystems;Hexapod6-AxisAlignmentSystems,PiezoActuators;Non-MagneticMotors,Servo/StepperMotorizedStages,DigitalMotionControllers.40YearsExperience.Contact:JimGareau,VPSales,[email protected];StefanVorndran,VPMarketing,[email protected]

Pozzetta, Inc. #2233121SPlatteRiverDr,Englewood,CO,80110-2139UnitedStates+13037833172;[email protected];www.pozzetta.com

Featured Product: Photomask Compacts, Reticle Pods, Wafer Carriers, Wafer Shippers,

CompaniesaroundtheworldtrustPozzettatocreatesecureenvironmentsforthehandling,storage,andtransportofphotomasks,reticles,andwafers.Pozzettawillprotectyourvaluableproductsfromparticles,ESDdamage,outgassedcomponents,andhighcosts.

Qoniac GmbH #100

Fetscherstr#72,Dresden,01307Germany+4935141893340;[email protected]

Conference16–18September2014

Exhibition16–17September2014

LocationMontereyMarriott&MontereyConferenceCenterMonterey,California,USA

Technologies

-MaskMaking-EmergingMaskTechnologies-MaskApplication-MaskBusiness

2014PhotomaskTechnologyMaskdesign,production,integration,andnext-generationmasktechnologies

Call for PapersAbstractsdue24March2014www.spie.org/pm2014

TheinternationaltechnicalgroupofSPIEdedicatedtotheadvancementofphotomasktechnology

SPIEAdvancedLithography2014 · www.spie.org/al ·+13606763290 · [email protected] 13

Exhibitor Directory

Raith America, Inc. #3312805VeteransHwySte23,Ronkonkoma,NY,11779UnitedStates+16317389500;[email protected];www.raith.com

Featured Product: electron beam lithography; ion beam lithography; nano lithography; nano fabrication

RaithandVistecGaussianBeamLithographycombinedareformingthelargestorganizationacrosstheglobespecializedinprovidinginnovativeinstrumentsolutionsforelectronandionbeamlithography,nanomanipulationandelectronbeaminducedprocesses.Withsub10nmlinewidthguaranteed,ourelectronbeamlithographysystemsprovidestateoftheartperformance.Beyondestablishednanolithographycapabilities,thecoreinstrumentsareextendedtoversatilenanoengineeringsolutions.Contact:AndreLinden,SalesManager,[email protected].

Conference, General Refreshment Sponsor

Rigaku Innovative Technologies, Inc. #3041900TaylorRd,AuburnHills,MI,48326-1740UnitedStates+12482326400;[email protected];www.rigaku.com

Featured Product: MaxEuv Litho OPTICS

RITisattheforefrontofMultilayeroptictechnologyforEUVLithography.FormerlyOsmicInc.,RITwasthefirstcommercialsupplierofmultilayeropticsforX-raySciences.Aleaderinthedevelopment&supplyofEUVoptics,shapingthevisionofEUVLforhighvolumemanufacturing.Rigakuisaworldleaderinthefieldofsmallmolecule&proteincrystallography,X-rayspectrometry&diffraction,X-rayoptics,andsemiconductormetrology.VisitBooth#308orwww.rigaku.com/products/optics/euvContact:JimRodriquez,VPNewBusinessDevelopment,[email protected];BillBowman,NAandAsianSalesManager,[email protected]

SAES Pure Gas, Inc. #1044175SantaFeRd,SanLuisObispo,CA,[email protected];www.SaesPureGas.com

Featured Product: Advanced gas purification solutions for EUV, Immersion, Dry Lithography and Metrology applications.

SAESPureGashasbeenworkingformorethan15yearswithleadingedgeOEMsandendusersinthelithographyandmetrologyfield.SAESPointofUsepurifiers,automaticallyregenerablebulkpurifiers,andmicrocontaminationinspectionServicearetheindustrystandardsforoptics,masks,wafersandreticlepurgingandprotection.OEMQualifiedsolutionsareavailableforCDA,H2,N2,ArandCO2.ImpuritiesremovedincludeH2O,Acids,Bases,andOrganicstosingledigitpptVlevels.Contact:SteveWallace,CustomerService,[email protected]

Sage Design Automation #324

2075DeLaCruzBlvdSte105,SantaClara,CA,[email protected];www.sage-da.com

Featured Product: iDRM design rule compiler : Design rule development, capture, validation & automatic check

Sage-DAmakessoftwaretoolsfordesignruledevelopment.TheiDRMdesignrulecompilerplatformensuresconsistencyandclosurebetweenprocessdata,theirrespectiveDRMrepresentationandtheirDRCrunsetimplementation.iDRMenablesnon-programmerstoquicklyandaccuratelycapturedesignrulesandgeneratecorrect-by-constructionchecksandanalysesfornewprocesstechnologies.

Shin-Etsu MicroSi #13010028Sout51stStreet,Phoenix,AZ,85044UnitedStates+14808938898;[email protected];www.microsi.comShin-Etsu,theworld’sNo.1supplierofsemiconductorsiliconwafersandaleadingsupplierofessentialelectronicmaterials.Shin-Etsu’sproductportfolioincludes,photomaskblanks,EBresists,pellicles,syntheticquartz,semiconductoradvancedresistsalongwithnumerousspecializedthermalinterfacematerials.Contact:EdNichols,MarketingManager,[email protected];[email protected]

SOKUDO Co. Ltd / SCREEN / DNS Electronics #118820KiferRoad,SuiteB,Sunnyvale,CA,94086UnitedStates+14085239140;[email protected];www.sokudo.com

Featured Product: DUO 300mm

DUO450mmRF3S-150mmto300mm80EX-100mmto200mm60EX-50mmto150mmSOKUDOCo.,Ltd.isspecializedintheresearch,development,manufacturingandsalesofsemiconductorcoat/developequipment(aSCREENcompany)BARC,SOG,SOD,I-Line,KrF,ArF,immersion,NTD,DSA,EUVetc100mmto200mm-80EXsystem50mmto150mm-60EXsystem150mmto300mm-180wphRF3Ssystem300mmsystems-600wphstandaloneDUOsystem300mmsystems+400wphlinkedDUOsystem450mmsystems–supportallprocessesContact:LaszloMikulas,VicePresidentSales&ProductEngineering-USA,[email protected];IanWinch,SeniorProductEngineer,[email protected]

14 SPIEAdvancedLithography2014 · www.spie.org/al ·+13606763290 · [email protected]

Promotional Partner

Solid State Technology #105178618thSt,SanFrancisco,CA,94107UnitedStates+14152550390;[email protected];electroiq.com

Storex Technologies #137CaleaMosilornr274ap34,Bucharest,Bucharest,020892Romania+40722690644;[email protected];www.storextechnologies.com

Featured Product: Quantum Optical Lithography with 5 nm half-pitch on silicon wafer.

www.storextechnologies.comContact:EugenPavel,CEO,[email protected]

Sumika Electronic Materials #3073832EWatkinsSt,Phoenix,AZ,85034-7254UnitedStates+16026592500;[email protected];www.sumitomo-chem.co.jp

SwissLitho AG #333

Technoparkstrasse1,Zürich,[email protected];www.swisslitho.com

Featured Product: NanoFrazor explore - rapid prototyping of high-quality nanostructures

TheNanoFrazorrevolutionizesserialnanopatterning.High-qualitynanostructuresarewrittenandinspectedsimultaneously.Complex3Dnanostructurescanbefabricatedwithanunmatchedverticalprecisionof1nmandalateralresolutionof10nmhalf-pitch.Ascanspeedof20mm/shasbeendemonstrated.Wetdevelopmentandvacuumisnotneededforthisdirectwriteprocess.Theentry-levelmodel,the“NanoFrazorexplore”,isaffordableanddesignedforR&Dapplicationsinnanotechnology.Contact:FelixHolzner,CEO,[email protected];PhilipPaul,CTO,[email protected]

SwissOptic AG #230Heinrich-Wild-Strasse,Heerbrugg,9435Switzerland+41717273074;[email protected];www.swissoptic.com

Featured Product: complete front-end optical OEM assemblies

AsareliableOEMpartner,SwissOpticAGsuppliesplantmanufacturersinthesemiconductorindustrywithcompletefront-endopticalassemblies.Customizedassemblieswithopticsasthecoretechnologyareacriticalpartofthesolutionsweprovide.Thisensuresourcustomerscompetitiveadvantagesarerealized.Themainfieldsofusefortheseassembliesaretheanalysisofmasksaswellasstructuredandunstructuredwafers,optimizingyield,andincreasingtheprocessreliability.Contact:KevinLiddane,DirectorofSales-NorthAmerica,[email protected];JürgenFandrich,SalesManager,[email protected]

Exhibition Bag Sponsor

Synopsys Inc. #222

700EMiddlefieldRd,MountainView,CA,[email protected];www.synopsys.com

Featured Product: Proteus LRC, CATS, Sentaurus Lithography

Synopsysprovidesindustry-provenEDAsolutionstomeetthedemandsoftoday’sadvancedICmanufacturingprocesseswhilesettingthestandardinplatformflexibilitytoenableinnovativesolutionsfornextgenerationtechnologynodes.Synopsys’comprehensiveMASKSynthesis,MaskDataPreparation,TCADandYieldManagementtoolsprovideleadingedgeperformance,accuracy,quality,andcostofownershipforallyourproductionanddevelopmentneeds.Contact:[email protected]

Event Mobile App, Wi-Fi - East End Sponsor

Tokyo Electron Limited #2032400GroveBlvd,Austin,TX,78741-6500UnitedStates+15124241000;[email protected];www.tel.com

Featured Product: CLEAN TRACK ACT8/12 and Lithius coater/developers, renowned for quality, reliability and performance

TokyoElectron(TEL)isaleadingglobalsupplierofinnovativesemiconductorandflatpaneldisplayproductionequipment.Productlinesincludecoater/developers,thermalprocessingsystems,plasmaetchers,singlewaferdepositionsystems,surfacepreparationsystems,testsystems,advancedpackaging,gasclusterionbeamtechnologyandmetrologysystems.EstablishedinJapanin1963,TELhasexpandedtoover100officesworldwide.Contact:RobCrowell,Manager,StrategicMarketing,[email protected];MichellePesez,Manager,MarketingandCommunications,[email protected]

Conference, Featured Exhibitor, Online Floor Plan Sponsor, and Wednesday Poster Reception Co-Sponsor

Tokyo Ohka Kogyo America, Inc. (TOK America) #110

190TopazSt,Milpitas,CA,95035UnitedStates+14089569901;fax+14089569995www.tokamerica.com

Featured Product: ArF Immersion, EUV, DSA and Packaging process photo resists as well as Nano Imprint materials

TOKAmericamanufacturesandmarketsultrahigh-purityphotoresistsandauxiliarychemicalsusedinthefabricationofintegratedcircuits.TOKAmericaisaUSsubsidiaryofTokyoOhkaKogyoCo.,Ltd.,inKawasaki,Japan,aworldleaderinthemanufactureofphotoresists,auxiliaryliquidchemicalproducts,andprocessequipmentforthesemiconductorcircuit,semiconductorpackaging,imagesensor/MEMS,3Dpackaginganddisplaytechnologymaterialsindustries.Contact:AaronVickery,Sr.ManagerBusinessDevelopment,[email protected];TripBakun,AccountManagerBusinessDevelopment,[email protected]

Exhibitor Directory

SPIEAdvancedLithography2014 · www.spie.org/al ·+13606763290 · [email protected] 15

Featured Exhibitor, Online Floor Plan Sponsor

TRIOPTICS GmbH #306

Hafenstr35-39,Wedel,22880Germany+494103180060;[email protected];www.trioptics.com

Featured Product: OptiCentric® Max 300 UP- The Ultimate Solution for Alignment and Assembly of High Precision Optics

Vistec Electron Beam GmbH #219Ilmstrasse4,Jena,07743Germany+49364179980;[email protected];www.vistec-semi.com

Featured Product: electron-beam lithography, SB254, SB3055

VistecElectronBeamGmbHprovidesleadingtechnologysolutionsforadvancedelectron-beamlithography.BasedontheVariableShapedBeam(VSB)principle,theelectron-beamlithographysystemsaremainlyutilizedforsemiconductorapplicationsandadvancedresearchassilicondirectwrite,compoundsemiconductor,maskmakingaswellasintegratedopticsandseveralnewemergingmarkets.Contact:InesStolberg,MarketingManager,[email protected]

XEI Scientific, Inc. #121

1755EastBayshoreRd.Ste.17,RedwoodCity,CA,94063UnitedStates+16503690133;[email protected];www.evactron.com

Zygo Corporation #226

LaurelBrookRoad,Middlefield,CT,06455UnitedStates+18603478506;[email protected];www.zygo.comZygoCorporationisaworldwidesupplierofopticalmetrologyinstruments,precisionoptics,andelectro-opticaldesignandmanufacturingservices.Ourprecisionnoncontactmeasuringinstrumentsandsystemsenablemanufacturerstoincreaseoperatingefficienciesandproductyieldsbyidentifyingandcollectingquantitativedataonproductdefectsduringandafterthemanufacturingprocess.ZYGOwillbeexhibitingtheirnewestlinesofinterferometersandopticaladvances.Contact:DavidMelton,DirectorSales&Support,[email protected];KurtRedlitz,DirectorPPS,[email protected]

Exhibitor Directory

Conferences & Courses: 22–26 FebruaryExhibition: 24–25 February

Location:San Jose Marriott and San Jose Convention CenterSan Jose, California, USA

2015 ADVANCED LITHOGRAPHY•Mark your calendarwww.spie.org/al2015

16 SPIEAdvancedLithography2014 · www.spie.org/al ·+13606763290 · [email protected]

Product Categories

AstronomyBerlinerGlasKGaAHerbertKubatzGmbH

&Co.PI(PhysikInstrumente)

Basic Research, ScienceAmunealMfgCorpattocubesystemsInc.HalocarbonProductsmicroresisttechnologyGmbHRaithAmerica,Inc.RigakuInnovativeTechnologies,Inc.TokyoElectronLimited

Biomedical, Medical Imaging, Health CareattocubesystemsInc.EnergetiqTechnology,Inc.OptoDiodeCorp.PI(PhysikInstrumente)RaithAmerica,Inc.ZygoCorporation

Cameras and Imaging systemsBerlinerGlasKGaAHerbertKubatzGmbH

&Co.SwissOpticAG

Chemical and Biological AnalysisRigakuInnovativeTechnologies,Inc.

Computing Systems, Data ProcessingattocubesystemsInc.SwissLithoAG

Consulting ServicesAmunealMfgCorpMEMS&NanotechnologyExchangeTokyoElectronLimited

Defense, Security, Law EnforcementOptoDiodeCorp.

Detectors, SensorsAmunealMfgCorpOptoDiodeCorp.

Displays: Consumer, Information, EntertainmentSAESPureGas,Inc.

Education and TrainingPhotonicsOnline

Electronic ComponentsOptoDiodeCorp.

Electronic, Digital ImagingaBeamTechnologies,Inc.

Emerging Photonics TechnologiesVistecElectronBeamGmbH

Industrial Sensing and MeasurementattocubesystemsInc.SwissOpticAGZygoCorporation

Laser IndustryBerlinerGlasKGaAHerbertKubatzGmbH&

Co.SAESPureGas,Inc.SwissOpticAG

Lasers and SystemsEnergetiqTechnology,Inc.SwissOpticAG

LED, OLED, non-laser Light SourcesOptoDiodeCorp.RigakuInnovativeTechnologies,Inc.

Lighting and IlluminationRigakuInnovativeTechnologies,Inc.

Lithographic EquipmentaBeamTechnologies,Inc.AmunealMfgCorpBenchmarkTechnologiesBerlinerGlasKGaAHerbertKubatzGmbH&

Co.CarlZeissSMSGmbHEnergetiqTechnology,Inc.JSRMicro,Inc.OwensDesign,Inc.Pozzetta,Inc.RaithAmerica,Inc.RigakuInnovativeTechnologies,Inc.StorexTechnologiesSwissLithoAGSwissOpticAGTokyoElectronLimitedVistecElectronBeamGmbHZygoCorporation

Machine Vision, Factory AutomationBerlinerGlasKGaAHerbertKubatzGmbH&

Co.OwensDesign,Inc.SwissOpticAG

Materials Processing, Lasers in ManufacturingattocubesystemsInc.BerlinerGlasKGaAHerbertKubatzGmbH&

Co.SwissLithoAG

Materials, Abrasives, ChemicalsHalocarbonProductsJSRMicro,Inc.microresisttechnologyGmbH

MicroscopesaBeamTechnologies,Inc.attocubesystemsInc.CarlZeissSMSGmbHRaithAmerica,Inc.SwissLithoAGZygoCorporation

MicrotechnologyMEMS&NanotechnologyExchangemicroresisttechnologyGmbHTokyoElectronLimitedVistecElectronBeamGmbH

Misc consumables and equipmentOwensDesign,Inc.Pozzetta,Inc.

Mounts, Tables, Vibration IsolationBerlinerGlasKGaAHerbertKubatzGmbH&

Co.

Nanotechnology productsaBeamTechnologies,Inc.BenchmarkTechnologiesMEMS&NanotechnologyExchangemicroresisttechnologyGmbHTokyoElectronLimited

Optical Coatings, Thin FilmsJ.A.WoollamCo.,Inc.MEMS&NanotechnologyExchangemicroresisttechnologyGmbHRigakuInnovativeTechnologies,Inc.SwissOpticAGTokyoElectronLimited

SPIEAdvancedLithography2014 · www.spie.org/al ·+13606763290 · [email protected] 17

Optical Components - Filters, Mirrors, OtherBerlinerGlasKGaAHerbertKubatzGmbH

&Co.RigakuInnovativeTechnologies,Inc.

Optical Components - LensesInkoIndustrialCorp.RigakuInnovativeTechnologies,Inc.SwissOpticAGVistecElectronBeamGmbHZygoCorporation

Optical Data StorageStorexTechnologies

Optical Design and EngineeringOwensDesign,Inc.RigakuInnovativeTechnologies,Inc.ZygoCorporation

Optical Fabrication EquipmentPI(PhysikInstrumente)

Optics ManufacturingEnergetiqTechnology,Inc.microresisttechnologyGmbHRigakuInnovativeTechnologies,Inc.SAESPureGas,Inc.

Product Categories

SwissLithoAGSwissOpticAGVistecElectronBeamGmbHZygoCorporation

Optomechanical Components, DevicesBerlinerGlasKGaAHerbertKubatzGmbH

&Co.

Positioning Equipment, Motion Control and AccessoriesattocubesystemsInc.PI(PhysikInstrumente)ZygoCorporation

Publishers, Associations, Clusters, SocietiesPhotonicsOnline

SemiconductorManufacturingaBeamTechnologies,Inc.BerlinerGlasKGaAHerbertKubatzGmbH

&Co.CarlZeissSMSGmbHGudengPrecisionIndustrialCo.,Ltd.JSRMicro,Inc.microresisttechnologyGmbHOptoDiodeCorp.OwensDesign,Inc.Pozzetta,Inc.

RaithAmerica,Inc.SAESPureGas,Inc.SOKUDOCo.Ltd/SCREEN/DNS

ElectronicsStorexTechnologiesSwissLithoAGSwissOpticAGSynopsysInc.TokyoElectronLimitedVistecElectronBeamGmbH

SoftwareaBeamTechnologies,Inc.CarlZeissSMSGmbH

Solar & Alternative EnergyOwensDesign,Inc.

Test and Measurement, MetrologyattocubesystemsInc.J.A.WoollamCo.,Inc.OptoDiodeCorp.OwensDesign,Inc.ZygoCorporation

Vacuum, Cooling, Gas Handling EquipmentattocubesystemsInc.OwensDesign,Inc.SAESPureGas,Inc.

SPIE Career Center

ADVANCE YOUR TECHNICAL CAREER www.SPIECareerCenter.org

Stay informed -Seewhatengineeringandtechnicaljobsareavailablebyusingourexclusivejobsearchtools

Gain exposure-PostyourCV/resumeonlineforemployerstosee—it’sfree!

Build your network-AttendourJobFairsandenrollinSPIEeducationalcourses

Go above and beyond-Setupjobalerts,accesscareer-relatedarticlesandmore

ContactSaraLiebert:+13606855600,[email protected]

18 SPIEAdvancedLithography2014 · www.spie.org/al ·+13606763290 · [email protected]

3SAETechnologies,Inc.3SPGroup4ADEnterprises,Inc.4DTechnologyCorp.A*STARNationalMetrologyCtr.ABBAnalyticalMeasurementAbetTechnologies,Inc.ABTech,Inc.AccessLaserCo.AccessOpticsLLCAcktarLtd.AcuphaseInc.AdimecElectronicImaging,Inc.AdlOpticaOpticalSystemsGmbHAdsysControls,Inc.AdTechCeramicsAdTechOptics,Inc.AdValuePhotonics,Inc.AdvancedAbrasivesCorp.AdvancedCoolingTechnologies,

Inc.AdvancedMicroopticSystems

GmbHAdvancedOptowaveCorp.AdvancedPhotonix,Inc.AdvancedResearchCorp.AdvancedThinFilmsAdvantestAmerica,Inc.AdvantestAmerica,Inc.Aerotech,Inc.AFLAgilentTechnologies,Inc.AgilentTechnologies,Inc.AiropticSpz.o.o.AKELALaserCorp.AlazarTechnologiesInc.ALIOIndustriesAllianceCorp.AllMotion,Inc.AlnairLabsCorp.ALPAOS.A.S.AlpineResearchOptics,LLCAlsonE.HathewayInc.AltosPhotonics,Inc.AmericanBerylliaInc.AMETEKPrecitech,Inc.AmigoOptimaSoftwareSolutions

Ltd.AmpexDataSystemsCorp.AmplitudeLaserAndorTechnologyLtd.AndoverCorp.AngstromPrecisionOpticsInc.ApertureOpticalSciencesInc.

FEMTOLASERS,Inc.FianiumInc.FiberOpticSolutionSdnBhdFiberOpticValleyABFiberCrystFiberguideIndustries,Inc.FibertechOpticaInc.FirstLightImagingFirstSensor,Inc.FiveFocalLLCFJWOpticalSystems,Inc.FLIRAdvancedImagingSystemsFLIRSystems,Inc.FLIRSystems,Inc.FluxData,Inc.FOCtekPhotonics,Inc.ForealSpectrum,Inc.FotofabFrankfurtLaserCo.FresnelTechnologiesInc.FuzhouAlphaOpticsCo.,Ltd.G-SPlasticOpticsGAMDANOpticsGEGlobalResearchGEIntelligentPlatformsGeneralDynamics-GlobalImaging

TechnologiesGeneralRuby&SapphireCo.GentecElectro-OpticsInc.GeorgiaTechResearchInstituteGfEMaterialsTechnology,Inc.GlassFab,Inc.GlyndwrInnovationLtd.Gooch&HousegoPLCGPDOptoelectronicsCorp.GreenlightOptics,LLCGreenpakDevelopment,Inc.GrintechGmbHGTITechnologies,Inc.GulfPhotonics,Inc.HaasLaserTechnologies,Inc.HalocarbonProductsHamamatsuCorp.HaphitLtd.HardinOpticalCo.HCPhotonicsCorp.HeadwallPhotonicsInc.HeidelbergInstrumentsInc.HellmaUSA,Inc.HeracleGmbHHeraeusQuartzAmericaLLCHindsInstruments,Inc.HitachiHighTechnologies

America,Inc.HofstadterAnalyticalServices,

LLCHOLO/ORLtd.HOLOEYEPhotonicsAG

ApolloInstruments,Inc.AppliedImage,Inc.AppliedPhotonicsInc.AppliedPhysics&Electronics,Inc.AppliedSurfaceTechnologiesAppliedTechnologyAssociatesArcherOpTx,Inc.ArdenPhotonicsLtd.ArrowThinFilms,Inc.ArroyoInstruments,LLCArtifex-Engineeringe.K.ASAAstrosystemeGmbHAsahiSpectraUSAInc.Ascentta,Inc.ASMLASMLUS,Inc.attocubesystemsInc.Avantes,Inc.AvoPhotonics,Inc.AyaseAmericaInc.B&WTek,Inc.BaseOptics,Inc.BaySpec,Inc.BeamtechOptronicsCo.Ltd.BeijingBodianOpticalTech.Co.,

Ltd.BeijingScitlionTechnologyCo.,

Ltd.BerlinerGlasKGaAHerbert

KubatzGmbH&Co.BigCDino-LiteDigitalMicroscopeBlockEngineering,LLCBlueRidgeOptics,LLCBodkinDesign&Engineering,LLCBostonElectronicsCorp.BostonMicromachinesCorp.BoulderNonlinearSystemsBrandywinePhotonics,LLCBreaultResearchOrganization,

Inc.BrewerScience,Inc.BrionTechnologies,Inc.BristolInstruments,Inc.BrolisSemiconductorsUABBWTBeijingLtd.CalmarLaserCambridgeTechnology,Inc.CarlZeiss,Inc.CascadeLaserCorp.CascadeOpticalCorp.CASTECHInc.CeramOptecIndustries,Inc.ChangchunNewIndustries

OptoelectronicsTechnologyCo.,Ltd.

ChinaDahengGroup,Inc.

ChinaGermaniumCo.,Ltd.ChromaTechnologyCorp.CISystems,Inc.CimcoopHoldingLtd.ClearAlignCMOSISnvCoastalConnectionsCoherent,Inc.CollegeofOpticalSciences,The

Univ.ofArizonaCorActiveHigh-TechInc.CREOL,TheCollegeofOptics

andPhotonics,Univ.ofCentralFlorida

CristalLaserS.A.CVILaserOpticsDataRayInc.DaylightSolutionsInc.DELTADepositionSciences,Inc.DiamondUSAInc.DIASInfraredCorpDiConFiberoptics,Inc.DILASDiodeLaser,Inc.DILASDiodenlaserGmbHDirectedLight,Inc.DiverseOpticsInc.DocterOptics,Inc.DRSTechnologies,Inc.DSTControlABE.R.PrecisionOpticalCorp.e2vAerospaceandDefense,Inc.EdmundOpticsGmbHEdmundOpticsInc.ElectroOpticalComponents,Inc.ElectroOpticalIndustries,Inc.Electro-OpticalImaging,Inc.Electro-OpticsTechnology,Inc.EliteOptoelectronicsCo.,Ltd.ElliotScientificLtd.EMDChemicalsPerformance

MaterialsEMFCorp.EminessTechnologies,Inc.EmpirePrecisionPlasticsEmpireWest,Inc.EnergetiqTechnology,Inc.EngineeringSynthesisDesign,Inc.EPIX,Inc.EpnerTechnologyInc.EsterlineCMCElectronics,Inc.EutecusInc.EvaporatedCoatings,Inc.ExalosAGExciton,Inc.FemtochromeResearch,Inc.

Throughcollaboration,companieslargeandsmallsteerthelatestinnovationstowardpracticalrelevanceandrevenueintheglobalmarketplace.

SPIECorporateMembershipenhancesyourorganizationbyprovidingsignificantdiscountson:

SPIEExhibitions* | SPIEDigitalLibrarysubscriptionsAdvertisingrates | Mailinglists | Recruitmentservices

*CorporateMembersreceivea15%discountonexhibitspaceatSPIEExhibitions.

MAKE SPIE YOUR PROFESSIONAL SOCIETYwww.spie.org/corporate

SPIEAdvancedLithography2014 · www.spie.org/al ·+13606763290 · [email protected] 19

SPIE Corporate Members

HolographixLLCHongKongProductivityCouncilHORIBAInstrumentsInc.HOYACorp.USAHTAPhotomaskHuanicCorp.IbssGroup,Inc.IdealAerosmith,Inc.IDEXOptics&PhotonicsIllinoisToolWorksInc.ImagineOpticInc.ImagineOpticSAImagingSolutionsGroupIMECImperxInc.IMRAAmerica,Inc.IncomInc.InfiniteOpticsInc.InfraredSystemsDevelopment

Corp.InfratecInfraredLLCINGENERICGmbHInnolumeGmbHInnovationPhotonicsInnovationsinOptics,Inc.InnovativePhotonicSolutionsINOInPhenix,Inc.InPhoTechLtd.InradOpticsInsightPhotonicSolutions,Inc.IntaneOpticsIntevacPhotonics,Inc.IntraActionCorp.IntrinsicCrystalTechnologyCo.,

Ltd.IOIndustries,Inc.IPGPhotonicsCorp.IRCAMGmbHIRCameras,LLCIRDGlassIRflexCorp.IrisAO,Inc.IsometCorp.ISPOpticsCorp.IsuzuGlass,Inc.ITFLabs.JanosTechnology,LLCJasperDisplayCorp.JAYCOCleaningTechnologiesJDSUJenLabGmbHJENOPTIKOpticalSystemsJENOPTIKOpticalSystemsGmbHJEOLUSAInc.JSRMicro,Inc.JTIngramTechnologies,Inc.JULABOUSA,Inc.KaleidoTechnologyApSKappaoptronicsInc.Kapteyn-MurnaneLabs.,Inc.KentekCorp.KeopsysInc.KetingOpticalTechnologyInc.Kigre,Inc.KiloLambdaTechnologies,Ltd.KineticSystems,Inc.KoppGlass,Inc.KrellTech

KuglerofAmericaLtd.L-3CommunicationsL-3CommunicationsL-3CommunicationsCincinnati

ElectronicsL-3CommunicationsTinsleyLabs.

Inc.Labsphere,Inc.LaCroixOpticalCo.LambdaResearchCorp.LambdaResearchOptics,Inc.LanmarkControlsInc.LaserComponentsGmbHLaserComponentsUSA,Inc.LaserInstituteofAmericaLaserOperationsLLC/QPC

LasersLaserQuantumUSALaserS.O.S.USA,Inc.Laser-CompactCo.Ltd.Lasertel,Inc.LaservisionUSALASOSLasertechnikGmbHLatticeElectroOptics,Inc.LEONIFiberOptics,Inc.LeyboldOpticsUSA,Inc.LightTecLightCommTechnologyCo.,Ltd.LightPathTechnologies,Inc.LightSmythTechnologies,Inc.LightWorksOpticalSystemsLincolnLaserCo.LockheedMartinAculightLTUltraPrecisionOptics,LLCLumencor,Inc.LuxelCorp.M3MeasurementSolutionsMach8LasersBVMarketTech,Inc.MarktechOptoelectronicsMaterionBarrPrecisionOptics&

ThinFilmCoatingsMeadowlarkOptics,Inc.MegaWattLasers,Inc.MellesGriotMentorGraphicsCorp.MicroLaserSystems,Inc.MICRONIXUSA,LLCMicrotechInstruments,Inc.MightexSystemsMildex,Inc.MindrumPrecision,Inc.MinusKTechnologyInc.MirrorcleTechnologies,Inc.MLOpticCorp.MLDTechnologies,LLCMOXTEK,Inc.MPACrystalCorp.MPBCommunicationsInc.MultiIROptoelectronicsCo.,Ltd.MultisorbTechnologies,Inc.MWTechnologies,LdaNakedOpticsCorp.NameNanjingCreatorOpticsCo.,Ltd.Nano-OpticDevicesNanophaseTechnologiesCorp.NationalAperture,Inc.NationalDefenseIndustrial

Association

NationalInstituteofStandardsandTechnology

NatsumeOpticalCorp.NavitarInc.NewEnglandOpticalSystemsNewEnglandPhotoconductor

Corp.NewMexicoOpticsIndustry

AssociationNewSourceTechnology,LLCNewportCorp.NewportThinFilmLab.,Inc.NiCoForm,Inc.nLIGHTCorp.NoIRLaserCo.,LLCNorenProductsInc.NorlandProductsInc.NorPixInc.NorthropGrummanCuttingEdge

OptronicsNovotech,Inc.NPPhotonics,Inc.nPoint,Inc.NufernNutfieldTechnology,Inc.OceanOpticsB.V.OceanOptics,Inc.Oclaro,Inc.OEwaves,Inc.OFSOharaCorp.OharaCorp.Ondax,Inc.OnefiveGmbHOntarCorporationOPCOLaboratory,Inc.OpenPhotonics,Inc.OphirPhotonicsLLCOptecS.p.A.OptiTemp,Inc.OpticalFilterSource,LLCOpticalSupport,Inc.OPTICS1,Inc.OpticsBalzersOpticsTechnology,Inc.OptiformsOptiGrateCorp.OptikosCorp.OptimaxSystems,Inc.OptiProSystemsOptiSource,LLCOptiwaveSystemsInc.OptiWorks,Inc.OptoDiodeCorp.Opto-AlignmentTechnology,Inc.Opto-KnowledgeSystems,Inc.OptoElectronicComponentsOptofluidicsOptometricsCorp.OptoneticLLCOptoSigmaCorp.OptotuneAGOSELAInc.OZOpticsLtd.PacificLaserEquipmentPANalyticalPangolinLaserSystems,Inc.PavilionIntegrationCorp.PCO-TECHInc.PD-LD,Inc.

PFGPrecisionOptics,Inc.PHASICSS.A.PhotonDesignPhotonEngineeringLLCPhotonetc.Inc.PhotonicCleaningTechnologiesPhotonicsIndustriesInternational,

Inc.PhotonicsMedia/LaurinPublishingPHOTONISUSAPhotopTechnologies,Inc.PI(PhysikInstrumente)L.P.PicoQuantPhotonicsNorth

America,Inc.piezosystemjena,Inc.Pixelteq,Inc.PLCConnections,LLCPLSLaunchSolutionsPocoGraphite,anEntegrisCo.PolarOnyxLaserInc.PolymicroTechnologies,

ASubsidiaryofMolexIncorporated

PovolzhskayaStateAcademyofTelecommunicationsandInformatics

PowerTechnology,Inc.PrecisionAsphere,Inc.PrecisionGlass&OpticsPrecisionOpticalPrincetonInstrumentsPrincetonLightwave,Inc.ProfilocoloreSrlPROMETInternationalInc.ProtoLabs,Inc.PVPAdvancedEOSystems,Inc.Pynco,Inc.QDLaser,Inc.QEDTechnologies,Inc.QioptiqS.A.S.Qioptiq,Inc.QuantelUSAR’AINOpticsRadiantisRaicolCrystalsLtd.RainbowResearchOptics,Inc.RaytheonELCANOptical

TechnologiesRedfernIntegratedOptics,Inc.ResearchElectro-Optics,Inc.ReynardCorp.RichardsonGratings™,aNewport

Corp.BrandRICORUSA,Inc.RieglUSAInc.RMTLtd.RochesterPrecisionOptics,LLCRockyMountainInstrumentCo.RossOpticalIndustriesRPCPhotonics,Inc.RPMCLasers,Inc.RubiconTechnologyInc.RutherfordAppletonLab.SacherLasertechnikGmbHSageDesignAutomation,Inc.SalemDistributingCo.,Inc.SantaBarbaraInfrared,Inc.SatislohNorthAmericaInc.SavvyOpticsCorp.SCANLABAmerica,Inc.

20 SPIEAdvancedLithography2014 · www.spie.org/al ·+13606763290 · [email protected]

SCDSemiConductorDevicesSCD.USA,LLCSchneiderOpticalMachinesInc.SchneiderOptics,Inc.SCHOTTDiamondViewArmor

Products,LLCSCHOTTNorthAmerica,Inc.SCHOTTNorthAmerica,Inc.ScientificMaterialsCorp.SCONTELSemiNexCorp.Semrock,Inc.SensLSensofar-Tech,S.L.ShanghaiJiaguangOpticsGroupShanghaiOpticsInc.ShastaCrystalsSheaumannLaser,Inc.Showmark,LLCSigmadyne,Inc.SillOpticsGmbH&Co.KGSimphotekInc.SiskiyouCorporationSmarActGmbHSpaceOpticsResearchLabs.,LLC

(SORL)SpecimSpectralImagingLtd.SpectralEvolution,Inc.SpectrogonABSpectrogonUKLtd.SpectrogonUS,Inc.

SpectrumScientific,Inc.SpicaTechnologies,Inc.SRIInternationalSarnoffStanfordComputerOptics,Inc.StellarNet,Inc.Stemmerich,Inc.SunnyTechnologySutterInstrumentCorp.SwampOptics,LLCSwarovskiOptikKGSwissLithoAGSydorOptics,Inc.Synopsys,Inc.Synopsys,Inc.SyntecOpticsTAGOptics,Inc.TECMicrosystemsGmbHtec5USA,Inc.Techmetals,Inc.TechnicalManufacturingCorp.TecOptics,Inc.TecportOptics,Inc.TeledyneJudsonTechnologiesTelopsTemmekOpticsLtd.TempoPlasticCo.TempotecOpticsCo.,Ltd.TexasInstrumentsInc.TexasInstrumentsInc.TheHongKongPolytechnicUniv.TheUniv.ofNewMexico

SPIE Corporate Members

© 20

14 K

LA-T

enco

r Cor

pora

tion

Calling the leap takers. The ground breakers. The paradigm shifters.

Calling the visionaries ready to use nanotechnology to revolutionize our lives. At KLA-Tencor, we’re making scientific advancements that are bigger › and tinier ‹ than the semiconductor industry has ever seen.

On our team, you’ll never run out of opportunities. The future is calling. Will you answer?

Answer the call at kla-tencor.com/careers

We're enabling the digital age by pushing the boundaries of nanotechnology and making advancements in:

› optics› high-speed data processing› precision motion control› illumination systems› advanced algorithms› electron optics› image sensory› computational lithography

ThermoFisherScientificInc.ThorlabsGmbHThorlabsInc.Time-BandwidthProductsAGTime-BandwidthProducts,Inc.TLCInternationalWorld

HeadquartersTokyoOhkaKogyoAmerica,Inc.TopGaNLtd.TOPTICAPhotonicsInc.TornadoSpectralSystemsTowerOpticalCorp.TRIOPTICSGmbHTRUMPFInc.TRUMPFInc.TwinStarOptics,Coatings&

Crystals,Inc.TydexUmicoreOpticalMaterialsUSA,

Inc.UmicoreThinFilmProductsUniCleanCleanroomServicesUnitedLensCo.,Inc.Univ.ofRochesterUniversalPhotonicsInc.UTCAerospaceSystemsValtechCorp.Varioptic-ABUofParrotSAVermontPhotonicsTechnologies

Corp.VisiMaxTechnologies,Inc.

VisionComponentsGmbHVisionComponentsGmbHVisualant,Inc.VixarInc.VORTRANLaserTechnology,Inc.WacomCorp.WasatchPhotonics,Inc.WavelengthElectronics,Inc.WavelengthTechnologySingapore

Pte.Ltd.WorldStarTechWuhanHuarayPrecisionLaser

Co.,Ltd.WuhanYangtzeSotonLaserCo.,

Ltd.WZW-OpticAGXEIScientific,Inc.XenicsNVXonoxTechnologyGmbHXYALISYenistaOpticsInc.YenistaOpticsS.A.Z&ZOptoelectronicsTech.Co.,

Ltd.Zemax,LLCZemax,LLCZetaInstrumentsZomegaTerahertzCorp.ZürichInstrumentsAGZygoCorporation

Super-fast Lithography Verification Through Process Window

+86 510 8115 6962 www.wxnanotech.com [email protected]

anoORC is a production-proven super-fast

lithography verification tool capable of full-chip

verification through process window. Its

GPU-based system achieves 10X speed up in verification

over traditional CPU based system. Its model accuracy

had been verified by its customer between 130nm and

28nm nodes. It can check the typical errors such as

bridging, approaching, broken, pinching, line-end

shortening, CD deviation, EPE, sidelobe, image-missing,

nils and meef. It can be run on either CPU cluster or GPU

cluster.

Assured Accuracy – Theoretical results match PROLITH 

– Calibrated model verified by Customers 

– Verification done between 130nm and 28 nm nodes 

– Calibration process requires no expertise in resist modeling. 

Super-fast Speed – Explores the massive parallelism in GPU 

– Achieves 10x in speed vs traditional CPU tools 

– Off‐the‐shelf NVIDIA GPU are used 

– GPU adds only 25% to total hardware cost 

– Traditional CPU cluster upgradable to GPU cluster 

Process-window Awareness – Verification at each of multiple process corners 

– Probe error for process corner and layout location 

– Verification on Process‐Variation‐Band (PVB) 

– Computes process window for multiple cutlines on a layout 

Convenient Error Analysis– Verification results filtered to retain only the worst errors 

– Results grouped by process corner, by error type and sorted by 

error value 

– Cross‐probing between reported error and layout 

– Statistical results such as histogram available 

Simple Calibration – No need to be a resist model expert 

– No setting of unfamiliar parameters 

– Just specify evaluation criteria and model form 

– Rest done by the software automatically 

Strong Utility Tools NanoViewer:   

– high‐capacity, high‐speed layout viewer 

– Speed is faster than some popular commercial viewer 

– View layout with or without verification results 

NanoProcWin: 

– High speed common process window calculator 

– Any layout with any number of cutlines 

– Optical model or calibrated model 

– GPU boosts speed 

Easy Deployment – Multi‐core workstation with or without GPU 

– Group of networked computers or   

– Computer cluster for distributed computation   

– On widely used Linux operating system   

Low Cost of Ownership – CPU version cost competitive on absolute term 

– GPU version cost competitive relative to performance 

– GPU hardware adds only 25% to total hardware cost

©2013 Wuxi Nanotech, Inc. All rights reserved.

N Single Wafer Thin Film Processing SystemsCleaning • Deposition • Etching

Cleaning Applications• Si and Saphire Wafers • Wafer Frame• Display Panel • ITO Substrate • Patterned and Un-patterned Contact Masks• Pelliclized Reticle• In Situ Etch/Strip/Clean

Features• 450mm or up to 21” OD, 15”x15” Substrates• Megasonic DI, Brush, Chemical Dispense• IR Heating• PC Controlled with LabVIEW Software• Touchscreen User Interface• Manual Load and Unload • Small Footprint 30”D x 26”W

Options• Piranha Cleaning• High Pressure DI• Heated DI or Chemicals• Ozonated DI Water (20 ppm of O3)• Robotic Loading/Unloading with EFEM and SMIF Interface

Deposition: E-Beam and Thermal Evaporation, PECVD, PLD, DLC, DC & RF Sputtering, Ion Beam SputteringEtching: RIE, DRIE, ICP, Ion Beam, RIBE, PlasmaGrowth: ALD, PA-MOCVD, CNT, Graphene

LSC-4000 Large Substrate Cleaner

Wet Cleaning:

Other Systems:

Ph. 512-385-4552; [email protected]; www.nanomaster.comNANO-MASTER, Inc.

Features• Ion Beam: Up to 2KV/10mA • Ion Current Density 100-360 µA/cm2 • Ion Beam Size: 4”, 5”, 6”• Compatible with Reactive and Non Reactive Gases (Ar, O2, CO2, CF4, Cl2)

Applications• Surface Cleaning • Surface Treatment • Ion Beam Milling • Ion Beam Etching with Reactive Gases (RIBE): Gratings, Deep Trenches on SiO2, Si and Metals

Ion Beam Cleaning:

Helping engineers and scientists stay current

and competitive

SPIEDigitalLibrary.orgFind the answer

Defense& Security

SensorsBiomedicalOptics

Optics & Astronomy

Nano/Micro Technologies

Optoelectronics & Communications

Energy Lasers