東京エレクトロン株式会社 Corporate Update...2020/08/03  · Gaurav Gupta, 14 April 2020...

67
CORP IR / 2020.08.03 1 202083東京エレクトロン株式会社 Corporate Update

Transcript of 東京エレクトロン株式会社 Corporate Update...2020/08/03  · Gaurav Gupta, 14 April 2020...

CORP IR / 2020.08.03 1

2020年8月3日

東京エレクトロン株式会社

Corporate Update

CORP IR / 2020.08.03 2

3

11

18

22

28

34

41

44

47

51

1. 会社概要

2. 中期的事業成長に向けた取り組み

3. 中期経営計画の財務モデルと成長投資計画

4. 事業環境と業績予想

Appendix 1:多様化する半導体技術とTELのビジネス戦略

Appendix 2:各デバイスの技術課題とEUVリソグラフィ導入の効果

Appendix 3:エッチング装置

Appendix 4:成膜装置

Appendix 5:洗浄装置

Appendix 6:財務データ

内容

CORP IR / 2020.08.03 3

会社概要

CORP IR / 2020.08.03 4

新たな成長への挑戦

技術サポートを付加価値とする専門商社自社製品の開発・製造

メーカーとしての地位を築くグローバリゼーション 生産革新

TEL、市場変化への対応による成長の軌跡

0

3,000

6,000

9,000

12,000

15,000

65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19 20 21(E)

(億円)

(FY)

TEL連結売上高

1980年東証2部上場1984年東証1部上場

コーポレートガバナンスの強化最初のJV

(TEL-Thermco)設立

コンシューマー向け製品の輸出事業から撤退

海外でのダイレクトオペレーションを開始

Semiconductor applications

Mainframe computer

Mobile phonePC

Consumer electronics, etc.

CORP IR / 2020.08.03 5

売上高と営業利益の推移

(億円)

4M DRAM 供給過剰 • IT バブル崩壊• ロジックファウンドリの過剰投資

•アジア経済危機• 64M DRAM 供給過剰

•世界経済危機•メモリの過剰投資

(FY)

•欧州債務問題、新興国の成長鈍化• PC、モバイル等の需要低迷

7,238

9,060

6,687

4,972 6,121

6,131 6,639

7,997

11,307

12,782

11,272

12,800

16.7%

-4.4%

18.6%

-0.5%

14.6%

2.5%5.3%

14.4%

17.6%

19.5%

24.9%24.3%

21.0%

21.5%

-10%

0%

10%

20%

30%

40%

50%

-3,000

0

3,000

6,000

9,000

12,000

15,000

90 91 92 93 94 95 96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19 20 21(E)

TEL売上高

営業利益

営業利益率

CORP IR / 2020.08.03 6

US$ 1,999B

US$ 419B

US$ 55B

東京エレクトロンの事業領域

10,609億円,

94%

660億円

6%

1億円, 0%

11,272億円

CY2019 世界市場 FY2020 TEL売上高(2019.4~2020.3)

電子機器

半導体

WFE* CAPEX

FPD製造装置(FPD)

半導体製造装置(SPE)

その他

図はガートナーリサーチに基づき、東京エレクトロンが作成出所:Gartner, “Forecast: Semiconductor Wafer Fab Equipment, Worldwide, 1Q20 Update”,

Bob Johnson, Gaurav Gupta, 27 April 2020電子機器=Electronic Equipment Production/半導体=Semiconductor Revenue (Excluding

Solar)/WEF* CAPEX= Total Wafer Fab Equipment (including Wafer-Level Packaging)

CORP IR / 2020.08.03 7

TEL 主要プロダクト 世界市場シェア(CY2019)

91%

28% 37%

28%

27%

21%

65%

FPDコータ/デベロッパ FPDプラズマエッチング装置

出所(FPD): 当社推定

成膜装置 洗浄装置

ALD

コータ/デベロッパ ドライエッチング装置

40%

CVD

53%

酸化/拡散

半導体製造装置

FPD製造装置 (FY2020)

40%

ウェーハプローバ*

出所SPE(ウェーハプローバを除く): Gartner, “Market Share: Semiconductor Wafer Fab Equipment, Worldwide, 2019”, Bob Johnson,

Gaurav Gupta, 14 April 2020 図はガートナーリサーチに基づき、東京エレクトロンが作成。コータ/デベロッパ: Photoresist processing (Track), ドライエッチング装置: Dry etch, 成膜装置: Tube CVD + Atomic layer deposition

tools + Oxidation/ Diffusion Furnaces + Nontube LPCVD, ALD: Atomic layer deposition tools, CVD: Tube CVD + Nontube LPCVD, 酸化/拡散: Oxidation/diffusion Furnaces , 洗浄装置: Single Wafer Processors + Wet stations +Batch Spray processors + Other clean

Equipment

* SPE(ウェーハプローバ): VLSI Research, May 2020

図はVLSI Researchに基づき、東京エレクトロンが作成。

CORP IR / 2020.08.03 8

半導体製造装置メーカートップ15

Source:VLSI Research, May 2020

(Billions of US$)

13.46

12.76

9.55

9.54

4.66

2.46

2.20

1.55

1.53

1.26

1.13

1.10

1.10

0.89

0.69

Applied Materials

ASML

東京エレクトロンLam Research

KLA

アドバンテスト

スクリーン

Teradyne

日立ハイテク

ASMI

Kokusai

ダイフク

ニコン

ASM Pacific

キヤノン

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

CY2019 売上

CORP IR / 2020.08.03 9

環境 2030年中期目標を設定

9

CO2排出総量(2018年比)ウェーハ1枚あたり

CO2排出量(2013年比)

製品 事業所

長期目標(2050年)

30%各事業所におけるエネルギー使用量(原単位)(年次目標、前年度比)1%

東京エレクトロンは環境マネジメントのリーディングカンパニーとして、地球環境の保全に取り組みます事業所や製品の環境負荷低減を積極的に推進するとともに、エレクトロニクス製品の低消費電力化に寄与する革新的な製造技術を提供することで、夢のある社会の発展に貢献します

20%

CORP IR / 2020.08.03 10

当社のESG活動への第三者評価

当社のESGへの取り組みに、世界から高い評価

当社株式が組み入れられているESG関連インデックス

CORP IR / 2020.08.03 11

中期的事業成長に向けた取り組み

CORP IR / 2020.08.03 12

14/16nm 10nm 7nm 5nm

Logic

4X 6/7X 9X 12X

3D NAND

2Z 1X 1Y 1Z

DRAM

高まる半導体製造装置の付加価値

月産10万枚当たりWFE投資額(Greenfield、当社予測)

~$7B~$8B

~$20B

新たなアプリケーションの登場と技術難易度の高まりが、半導体製造装置メーカーの事業機会を拡大

CORP IR / 2020.08.03 13

SAMが拡大する工程に注力し、高いSAMシェアを獲得

HARC工程

– 3D NAND、DRAMのSAM拡大および新規POR獲得により売上増加

パターニング工程

– 生産コスト削減の提案により、シェア拡大

配線/コンタクト工程

– SAM拡大および差別化技術による高いシェア維持

ガスケミカルエッチング工程

– 新たな市場の創出により売上増加

エッチング装置における成長のシナリオ

CY2023までにSAMシェア30~35%を目指す

0

500

1000

1500

2000

2500

3000

3500

0.0

1.0

2.0

3.0

4.0

5.0

6.0

7.0

8.0

9.0

10.0

11.0

12.0

13.0

14.0

15.0

16.0

17.0

18.0

19.0

20.0

CY'17 CY'18 CY'19 CY'20 CY'21 CY'22SAM(ドルベース) HARC

パターニング 配線/コンタクト

ガスケミカルエッチング

エッチング装置市場の当社のSAM

における工程別の売上目標イメージ** 当社予測。SAMはドルベース。売上は円ベースのイメージ図

※ 2019年5月中期経営計画説明会の資料

CORP IR / 2020.08.03 14

バッチ、セミバッチ、枚葉の成膜技術の中から最適な手法を選定し、付加価値の高い技術を提供することでビジネスを拡大

高度化する成膜技術において、当社の強みを生かし、新材料や新成膜手法の開発を加速。さらなる成長を目指す

– 低抵抗化を実現する新しいメタル材料の成膜

– 微細化を促進する異方性成膜や選択成膜など新しい成膜手法

– 良好な電気特性を実現するための前処理技術

成膜装置における成長のシナリオ

付加価値の高い成膜工程に注力し、CY2023までにSAMシェア40%以上を目指す

0

20000

40000

60000

80000

100000

120000

140000

160000

0.0

1.0

2.0

3.0

4.0

5.0

CY'17 CY'18 CY'19 CY'20 CY'21 CY'22

SAM(ドルベース) DRAM NAND ロジック/ファウンドリ その他

成膜装置市場の当社のSAMにおけるアプリケーション別の売上目標イメージ*

* 当社予測。SAMはドルベース。売上は円ベースのイメージ図

成膜装置: Tube CVD + Atomic layer deposition tools + Oxidation/diffusion furnaces + Nontube LPCVD

※ 2019年5月中期経営計画説明会の資料

CORP IR / 2020.08.03 15

-10%

10%

30%

50%

70%

90%

110%

130%

150%

0.0

1.0

2.0

3.0

4.0

5.0

CY'17 CY'18 CY'19 CY'20 CY'21 CY'22

SAM(ドルベース) 枚葉 バッチ スクラバー

洗浄装置における成長のシナリオ

枚葉洗浄

– パターン倒壊抑制技術と生産性向上で売上拡大

– ベベルウェットエッチングにおける高いシェアを維持。新材料の除去でアプリケーションを拡大

バッチ洗浄

– 3D NANDのクリティカル工程でPOR拡大

スクラバー洗浄

– EUV導入によって裏面/ベベル処理の重要性が増し、SAM伸長

洗浄装置市場の当社のSAMにおける装置別の売上目標イメージ*

CY2023までにSAMシェア30%を目指す

SAMシェア

25%

* 当社予測。SAMはドルベース。売上は円ベースのイメージ図

※ 2019年5月中期経営計画説明会の資料

CORP IR / 2020.08.03 16

FPD製造装置における成長のシナリオ

売上高および営業利益率(億円)

ドライエッチング装置、コータ/

デベロッパの競争力強化

大型有機ELディスプレイ向けインクジェット市場の創出

ディスプレイの進化に合わせた新製品の投入

-10%

-5%

0%

5%

10%

15%

20%

25%

30%

35%

0

200

400

600

800

1,000

1,200

FY'15 FY'16 FY'17 FY'18 FY'19 FY'20 FY'21 FY'22 FY'23

売上高 営業利益率

CY2023までに営業利益率30%を目指す

CORP IR / 2020.08.03 17

装置メーカーの強みを生かし、中古装置・改造およびパーツ・サービスの両セグメントで収益向上

納入台数増加によるSAM拡大(納入済み装置72,000台以上)

新たな顧客ニーズに対応• 200mmリニューアル装置• 包括契約型サービス

業務改革プロジェクトと連携し、業務効率を向上

1,150

1,500

1,888 2,002

2,500

930

1,010

994 1,045

1,300

0

1000

2000

3000

4000

5000

FY'17 FY'18 FY'19 FY'20 FY'23

FS売上高

3,800億円

中古装置・改造

パーツ・サービス

2,080

2,510

~5年(目標)

2,882

成長戦略ポイント

フィールドソリューション(FS)の売上実績と成長戦略

3,048

CORP IR / 2020.08.03 18

中期経営計画の財務モデルと成長投資計画

CORP IR / 2020.08.03 19

中期経営計画

FY2020

(Actual)

By FY2024

(Plan)

$54B $55~60B $60~65B $65~70B

売上高 11,272 15,000 17,000 20,000

SPE 10,609 -- -- --

FPD 660 -- -- --

売上総利益下段:売上総利益率

4,51940.1%

6,50043.3%

7,40043.5%

8,90044.5%

販管費下段:売上高販管費比率

2,14619.1%

2,52016.8%

2,64015.5%

2,90014.5%

営業利益下段:営業利益率

2,37221.0%

3,98026.5%

4,76028.0%

>6,000>30.0%

ROE 21.8% >30%

財務モデルに変更なし

WFE market

(億円)

CORP IR / 2020.08.03 20

SPE、FPD主力製品の売上総利益率を向上

– 拡大する市場へむけて、新製品をタイムリーに投入

– 品質改善により、製品原価率を引き下げる

売上総利益、販管費(売上高20,000億円のケース)

FY2020(実績)

FY2021(予想)

By FY2024(計画)

増加率(FY’20-FY’24)

売上総利益

下段:売上総利益率

4,51940.1%

5,20040.6%

8,90044.5%

+96.9%+4.4pts

(億円)

成長分野における積極的な投資を行うと同時に、販管費・開発費の適正化を図る

FY2020(実績)

FY2021(予想)

By FY2024

(計画)

増加率(FY’20-FY’24)

販管費

下段:売上高販管費比率

2,14619.1%

2,45019.1%

2,90014.5%

+35.1%-4.6pts

(億円)

CORP IR / 2020.08.03 21

217

127 131 133206

456497

546 560

400~500

266 248208 192 178 206

243291

380350~370

0

200

400

600

FY’13 FY’14 FY’15 FY’16 FY’17 FY’18 FY’19 FY’20 FY’21

(予想)

732 786 713 762

838 971

1,139 1,202 1,350

0

500

1,000

1,500

FY'13 FY'14 FY'15 FY'16 FY'17 FY'18 FY'19 FY'20 FY'21

(予想)

研究開発費および設備投資(億円)

研究開発費

(億円)

設備投資 減価償却費

将来世代を見据えた先端技術開発により成長を実現

成長分野の開発・生産体制を拡充

3年間合計

4,000億円

CORP IR / 2020.08.03 22

事業環境と業績予想

CORP IR / 2020.08.03 23

778

1,152

2,043

2,482

1,85213.0%

19.1%

29.0%

30.1%

21.8%

0

500

1,000

1,500

2,000

2,500

FY'16 FY'17 FY'18 FY'19 FY'20

親会社株主に帰属する

当期純利益(億円)

ROE

当期純利益(億円)

FY2020(2019年4月~2020年3月)ハイライト

SPE*1およびFPD*2設備投資の調整の影響を受け、売上が減少したが、市場成長に対応するために成長投資を継続

下方柔軟性への取り組みの成果が出て、収益性を維持できた

売上高と売上総利益率

6,639 7,997

11,307 12,782

11,272

40.2% 40.3%42.0% 41.2% 40.1%

0

4,000

8,000

12,000

FY'16 FY'17 FY'18 FY'19 FY'20

売上高(億円)

売上総利益率

1,167

1,556

2,811 3,105

2,372

17.6%

19.5%

24.9%

24.3%

21.0%

0.0%

5.0%

10.0%

15.0%

20.0%

25.0%

30.0%

0

500

1,000

1,500

2,000

2,500

3,000

FY'16 FY'17 FY'18 FY'19 FY'20

営業利益(億円)

営業利益率

営業利益と営業利益率親会社株主に帰属する当期純利益とROE

*1 SPE:半導体製造装置 *2 FPD:フラットパネルディスプレイ製造装置

CORP IR / 2020.08.03 24

► 半導体前工程製造装置(WFE)*1の設備投資

CY2020の投資は、前年比10%程度の増加を想定

マクロ経済の動向に注視は必要だが、足元の引き合いは堅調

過去最高のWFE市場規模になると予測

► FPD製造装置 TFTアレイ工程*2向け設備投資

TV向け大型パネル投資は継続。モバイル向けOLED投資が回復

CY2020は前年比15%程度の成長を期待

事業環境(2020年7月時点での見方)

*1 半導体前工程製造装置(WFE; Wafer fab equipment):半導体製造工程には、ウェーハ状態で回路形成・検査をする前工程と、そのウェーハをチップごとに切断し、組み立て・検査をする後工程があります。半導体前工程製造装置は、この前工程で使用される製造装置です。また半導体前工程製造装置は、ウェーハレベルパッケージング用の装置を含んでいます。

*2 TFTアレイ工程:ディスプレイを駆動する電気回路機能を持つ基板を製造する工程

事業環境の見通しについて、前回発表(2020/6/18)から変更なし

CORP IR / 2020.08.03 25

ロジック/ファウンドリ

– EUV:新材料レジスト向け塗布・現像とエッチング

– コンタクト:高選択エッチング、低抵抗メタル成膜

– GAA*1:新規プロセス(Si/SiGe Finエッチング、等方性選択エッチング、微細三次元構造の洗浄)

DRAM

– パターニング:微細化に対応したソリューション提案

– キャパシタモジュール:微細深穴エッチング・電極成膜・パターン倒壊抑制ウェットエッチング・高誘電率材料成膜

3D NAND

– 高アスペクト比: 200層以降に向けたエッチングの加工性能と生産性の向上、ALD/CVDを使った埋め込み成膜

– RGM*2:犠牲膜高選択ウェットエッチング、新材料成膜

FY2021 事業機会と注力分野

SiSiGe

Fin

ゲートメタルGAA構造

SiSiGe

*1 GAA: Gate-all-around

*2 RGM: Replacement gate metal

Logic

3D NAND

CORP IR / 2020.08.03 26

FY2020(実績)

FY2021(予想)

H1 H2 通期通期

対前年増減

売上高 11,272 6,200 6,600 12,800 +13.5%

SPE 10,609 5,850 6,150 12,000 +13.1%

FPD 660 350 450 800 +21.0%

売上総利益下段:売上総利益率

4,51940.1%

2,48040.0%

2,72041.2%

5,20040.6%

+679+0.5pts

販管費 2,146 1,210 1,240 2,450 +303

営業利益下段:営業利益率

2,37221.0%

1,27020.5%

1,48022.4%

2,75021.5%

+376+0.5pts

税金等調整前当期純利益 2,446 1,270 1,480 2,750 +303親会社株主に帰属する当期純利益 1,852 950 1,100 2,050 +197

1株当たり当期純利益 (円) 1,170.57 610.83 - 1,318.10 +147.53

FY2021 業績予想(2020/6/18発表から変更なし)

SPE:半導体製造装置、FPD:フラットパネルディスプレイ製造装置

(億円)

成長投資を継続しつつ、売上高と利益の増加を目指す

CORP IR / 2020.08.03 27

0

200

400

600

800

FY'16 FY'17 FY'18 FY'19 FY'20 FY'21

(予想)

FY2021 配当予想

連結配当性向:

但し、1株当たり年間配当金150円を下回らない

2期連続して当期利益を生まなかった場合は、配当金の見直しを検討する

50%

自己株式の取得: 機動的に実施を検討

当社の株主還元策

237円

(円)

624円

1株当たり配当金は、配当性向 50%に沿って 660円を予定

1株当たり配当金

352円

758円

588円

中間306円

期末354円

660円

CORP IR / 2020.08.03 28

Appendix 1:多様化する半導体技術とTELのビジネス戦略

CORP IR / 2020.08.03 29

180130 110 90

6545

3222

14

107

5

1

10

100

1000

1990 1993 1996 1999 2002 2005 2008 2011 2014 2017 2020

波長

技術ノード

ArF

EUV

i-ArF

Scaling

KrF

微細化、材料開発、構造の3次元化による性能向上

i-line

High AR of DRAM

3D NAND

Planar FET

FinFET

Nanowire FET

3D Architecture

[nm]

さらにアーキテクチャ・設計・プロセスを見直す動きも活発化

Planar NAND

Planar FET

3D NAND large stack

CORP IR / 2020.08.03 30

半導体技術ロードマップ

HPC/AI/IoTのための未来のデバイスシステム

Logic sub

Logic BEOLeMemory

HBM

Logic sub

Logic BEOL

Interposer

3D NAND

High AR TSV

High density RDL

RF/Analog

Capacitor for stable power supply

Low-k

Face-to-Face bonding

High dense TSV

Low energy

consumption

High productivity

SPE tool

Power device

for IoT

RF analog

for IoT

超低消費電力技術への要求

>2 TiersScalingMRAMReRAM

AI chip (Analog memory)

New architecture Higher-k capacitor Scaling (WL/BL)

DRAM

>2 TiersWL metal>150 layersCMOS

NAND

ロジック

Ad-packageEUV doubleEUV singleAd-SAQP

パターニング

GAA Tr.*Contact metalBEOL structureSystemize technology by integrating chips

- DRAM tower with via- Analog/NAND/Logic by stacking- Built-in AI (Analog memory) - Moore’s Law by package scaling

TEL推定

underarray

* Gate-all-around transistor

CORP IR / 2020.08.03 31

多様化に向かう市場

AIシステム

通信システム

ロボットシステム

交通システム

ヘルスケアシステム

Moore’s Lawトランジスタ集積化による性能向上

Customization多機能化

Hyper-mass超高生産性の追求

アプリケーションが拡大し、製造技術も多様化する

PC/Mobile システム

OS

CPU + Memory

CORP IR / 2020.08.03 32

TELの総合力を最大限に生かす

Market-ing

高度な情報収集力と分析力

Coater/

Developer Etch Deposition Cleaning Test

Sales

R&D Manu-facturing

FS

強いネクストジェネレーションプロダクト開発

プロセスインテグレーション

高い品質 強固なサプライチェーン

顧客からの信頼

業界最大72,000台以上

Advanced FS

広い製品カバレッジ

CORP IR / 2020.08.03 33

Best Product、Best Serviceの継続的追求のために

Front-loadingAdvanced

field solutions

顧客との複数世代にわたる技術ロードマップ共有

Early engagementの推進

量産初期より顧客製品デバイスの歩留まりと装置稼動率の最大化を実現、また環境負荷も低減

仕事の効率化と1人当たりの生産性向上を推進し、人材・開発への投資をさらに増加

業界最大の納入済装置72,000台を生かしたビジネス展開

遠隔保守 TELeMetrics™

Machine learningによる予知保全

ビジョン:革新的な技術力と、多様なテクノロジーを融合する独創的な提案力で、半導体とFPD産業に高い付加価値と利益を生み出す真のグローバルカンパニー

CORP IR / 2020.08.03 34

Appendix 2:各デバイスの技術課題とEUVリソグラフィ導入の効果

CORP IR / 2020.08.03 35

DRAMプロセスにおける課題

キャパシタのピッチ制限により、微細化の難易度が高まる

– キャパシタ、ワードライン、ビットライン、素子分離におけるマルチパターニング

キャパシタのEOT(酸化膜換算膜厚)を薄膜化するため、電極間にhigh-k*1絶縁膜(ZrO2/Al2O/ZrO2)を導入(MIM:metal-insulator-metal)

CMOS(周辺回路)部はHKMG*2とFinFETへの移行により面積を縮小

キャパシタはシリンダー(外部と内部の2面に絶縁膜あり)からhigh-k絶縁膜がより薄いピラー(外部のみ絶縁膜あり)へと移行し、省スペースに

– アスペクト比はD16 nm世代では>50:1まで上昇、~80:1まで上昇し続ける

高アスペクト比構造の製造はいっそう難しくなる(エッチング、成膜、洗浄 etc.)

素子分離

キャパシタ

多層配線

コンタクト

ビットライン

埋め込みゲート

一般的なDRAM 6F2レイアウトのセル構造

DRAMの課題は微細化、材料、インテグレーション

*1 high-k:高誘電率*2 HKMG:high-k/metal gate

CORP IR / 2020.08.03 36

NANDプロセスにおける課題

高集積化の限界はリソグラフィではなく、メモリ容量は、ONONまたはOPOP層の積層数によって決まる

高アスペクト比のデバイス構造は、世代が進むごとにより厳しくなる

面積縮小のためにCMOS(周辺回路)部をメモリ下部へ移動すると、サーマルバジェット(熱履歴)が課題に

2016 - 2017 2018 - 20192020 -

2021

2022 -

2023

# of 3D tiers 4X 6X 9X 12X 25X 51X

Hole CD (nm) 65 - 100 65 - 100 65 - 100 65 - 100 65 - 100 65 - 100

Holes between slits 4 4 4 - 8 8 8 8

Vertical pitch (nm) 50 - 70 40 - 60 40 - 60 40 - 50 40 - 50 40 - 50

Bitline CD (nm) 20 20 20 - 40 ~40 ~40 ~40

当社予測

コンタクト

チャネル

階段(ステアケース)

ワードライン分離(スリット)

ゲート

Photo image: TECHINSIGHTS

アスペクト比の上昇に伴い、積層におけるエッチング・成膜の難易度が高まる

CORP IR / 2020.08.03 37

ロジック:EUVによる高度な技術課題(位置ずれ)の解決

1

12

33 masks

Too close

Closer

Too far

1 mask

Cut

3回露光: (Litho + Etch) x 3 歩留まり低下

歩留まり向上

位置ずれ低減

ロジックの構造図

EUV導入

従来の露光

EUV露光

11

11

Line

Space

自己整合型マルチパターニング (SAMP)

(エッチングと成膜を多数使用)

LELELE = (Litho-Etch)3

(エッチングと成膜の使用は限定的)

Step1: Line/Space

Step2: Cut

1回露光: (Litho + Etch) x 1

各露光プロセスごとに位置ずれ発生

CORP IR / 2020.08.03 38

ロジックコンタクト向け (Litho-etch)n パターニング(Cut)

• PMD dep

• Hard mask1 dep

• Hard mask2 dep

• Lithography1

• Contact HM2 etch1 short etch time

• Lithography2

• Contact HM2 etch2 short etch time

• Lithography3

• Contact HM2 etch3 short etch time

• Contact HM1 etch short etch time

• Contact PMD etch long etch time

• Post etch clean

• Barrier metal Ti/TiN dep

• Contact plug W dep

• Contact plug W CMP

Lithography1 Lithography2 Lithgraphy3

Contact PMD etch

Contact HM2

etch1

Contact HM2

etch2

Contact HM2

etch3

Contact HM1 etch Contact plug W CMP

Thicker layer

Higher etch selectivity

(Litho-etch)3パターニング EUV lithography

Contact HM2

etch

(Litho-etch)nパターニング

or

CORP IR / 2020.08.03 39

自己整合型マルチパターニング(Line/space)

Mandrel2 etch Sidewall1 dep Sidewall etch back1

Hard mask3 etch Mandrel1 etch Sidewall2 dep Sidewall etch back2

Hard mask1Hard mask2

Mandrel1Hard mask3Hard mask4Mandrel2Hard mask5

𝑝

• Had mask1 dep

• Hard mask2 dep

• Mandrel1 dep

• Hard mask3 dep

• Hard mask4 dep

• Mandrel2 dep

• Hard mask5 dep

• Lithography

• Hard mask5 etch

• Mandrel2 etch

• Sidewall1 dep

• Sidewall1 etch back

• Mandrel2 pull

• Hard mask4 etch

• Hard mask3 etch

• Mandrel1 etch

• Sidewall2 dep

• Sidewall2 etch back

1

2𝑝

SADP

SADP: Self-aligned double patterning

SAQP: Self-aligned quadruple patterning

1

4𝑝

SAQP

リソグラフィ成膜エッチ

CORP IR / 2020.08.03 40

EUVの採用は、顧客の直面する高度な技術課題(位置ずれ)を解決し、半導体と製造装置産業全体にとって非常にポジティブな効果をもたらす

– 微細化の促進

– 歩留まり向上による次世代への投資加速

EUVによる微細化の促進が、当社製品の更なる差別化と収益機会を創出する

– コータ/デベロッパのさらなる市場シェア向上

– エッチ・成膜・洗浄装置の需要拡大

– 自己整合型パターニングの進化による差別化

– 当社製品ラインアップを生かしたプロセスインテグレーションによる事業拡大

EUVリソグラフィ導入の効果

CORP IR / 2020.08.03 41

Appendix 3:エッチング装置

CORP IR / 2020.08.03 42

エッチング装置 SAMの展望

10.8

12.1

10.1

13.3

15.5 15.8

0.0

1.0

2.0

3.0

4.0

5.0

6.0

7.0

8.0

9.0

10.0

11.0

12.0

13.0

14.0

15.0

16.0

17.0

18.0

19.0

20.0

CY'17 CY'18 CY'19 CY'20 CY'21 CY'22

HARC パターニング

配線/コンタクト Critical conductor

ガスケミカルエッチング その他

エッチング装置の工程別SAM** 当社予測

HARC工程– 3D NANDの多層化およびDRAMの微細化により

SAMは拡大

パターニング工程– EUV量産導入後もマルチパターニング技術は引き続き採用され、SAMは高い水準を維持

配線/コンタクト工程– DRAMのCuデュアルダマシン化、ロジックの配線層数の増加によりSAMは拡大

Critical conductor工程– SAM構成比は低いものの、安定した投資が継続

ガスケミカルエッチング工程– デバイスの3次元化によりSAMは拡大傾向

※ 2019年5月中期経営計画説明会の資料

CORP IR / 2020.08.03 43

HARC工程– 3D NAND(多段コンタクト、ワードライン分離)、DRAM(キャパシタ):加工性能と生産性で差別化を継続

– 3D NAND(チャネル):精細な加工制御性と生産性で差別化できる新規装置を投入

パターニング工程– DRAM:一括エッチングで顧客の生産コストを低減

– ロジック:エッチングと成膜の融合技術で差別化

配線/コンタクト工程– ロジックで培った知見をDRAMへ展開

ガスケミカルエッチング工程– プラズマアシスト技術で新たな市場を創出

エッチング装置における戦略

ワードライン分離(スリット)

チャネル

多段コンタクト

キャパシタ

多層配線

等方性エッチング

Nanosheet image:

Courtesy of IBM

出典: N. Loubet, et al., Stacked Nanosheet Gate-All-Around Transistor to Enable Scaling Beyond FinFET

CORP IR / 2020.08.03 44

Appendix 4:成膜装置

CORP IR / 2020.08.03 45

成膜装置 SAMの展望

成膜装置市場におけるアプリケーション別SAM*

4.5

5.0

3.9

5.2

5.86.1

0.0

1.0

2.0

3.0

4.0

5.0

6.0

7.0

CY'17 CY'18 CY'19 CY'20 CY'21 CY'22

NAND DRAM ロジック/ファウンドリ

NAND

– 3D NANDの多層化への投資が継続。特に、厳しいアスペクト比の段差に対してALDを中心とする高品質な絶縁膜の需要が増加

DRAM

– 微細化投資が継続。キャパシタ性能の改善のため、新構造・新材料への投資が期待される

ロジック/ファウンドリ

– SAM構成比は低下傾向も安定的な投資を見込む。微細配線の抵抗確保のための技術革新のニーズ継続

($B)* 当社予測

※ 2019年5月中期経営計画説明会の資料

CORP IR / 2020.08.03 46

Dia: 20nm, AR=50:1

To

pB

ott

om

成膜事業における戦略

• 低温化• High-k絶縁膜• キャパシタ電極

DRAM 3D NAND• チャネルシリコン膜• チャージトラップ膜• ブロックHigh-k絶縁膜• 埋め込み酸化膜• 埋め込みシリコン膜

TELINDY PLUSTM Super Large Batch

100/125 150/175

Vertical Furnace Semi-batch System

NT333TM

N2

N2

シリコンプリカーサ

酸化

改質処理

Triase+™ EX-II ProTM TiN

Single-wafer System

S/C >95%

Gap-fill SiO2 Dep

バッチ、セミバッチ、枚葉技術を持つ強みを生かし付加価値の高い技術を提供

装置安定性

生産性/

カバレッジ改善

高温化

薄膜連続性/

膜質改善

AR≃16:1

CORP IR / 2020.08.03 47

Appendix 5:洗浄装置

CORP IR / 2020.08.03 48

洗浄装置 SAMの展望

3.0

3.4

2.9

3.63.8

4.0

0.0

1.0

2.0

3.0

4.0

5.0

CY'17 CY'18 CY'19 CY'20 CY'21 CY'22

枚葉 バッチ スクラバ―

($B)

洗浄装置では、SAM構成比に大きな変化なし

枚葉洗浄

– 今後も洗浄装置の最大市場

– ディフェクト低減、エッチング/乾燥性能向上のための技術革新は継続

バッチ洗浄

– 3D NAND クリティカル工程向けのウェットエッチング市場は今後も需要継続

スクラバー洗浄

– Pre-lithography工程その他で、裏面/ベベルの物理洗浄の重要性が増加

洗浄装置の装置種類別SAM** 当社予測

※ 2019年5月中期経営計画説明会の資料

CORP IR / 2020.08.03 49

枚葉洗浄

– ベベルウェットエッチング• 市場は年率10%程度の成長見込み• 顧客歩留まり改善に貢献。精密な外周部の膜除去性能により差別化し、高いシェアを維持

– パターン倒壊抑制高アスペクト比のパターンの倒壊を抑制する当社独自の技術により、シェアを拡大

– メタルエッチングメタルとの選択比を制御する新規SPM専用チャンバーを販売開始。ドライエッチングのダメージや残渣による歩留まり低下の課題を解決

枚葉洗浄における戦略

既存乾燥技術 新乾燥方式

パターン倒壊発生 倒壊なし

メタルエッチング工程イメージ

W W W WTiN TiN

処理なし

処理あり

CORP IR / 2020.08.03 50

539

787

1 10

500

1,000

Nitride Oxide

Before

After

バッチ・スクラバー洗浄における戦略SiNエッチング工程イメージ

ウェーハ裏面とディフォーカスのイメージ

ウェットエッチング

対SiO2エッチング選択比

エッチング均一性バッチ洗浄

– 3D NAND向けSiNエッチング、Wエッチング長時間かつ高いプロセス技術が要求される工程に注力。ウェットエッチングにおける高均一性、高選択性および高生産性の実現により差別化

スクラバー洗浄

– Pre-lithography工程EUVの導入によってますます重要度が増す露光機の稼動率向上に貢献する、異物低減など、価値の高いソリューションを提供

微小異物

埋め込まれた異物 表面異物

測定された全異物数(>50 mm)

CORP IR / 2020.08.03 51

Appendix 6:財務データ

CORP IR / 2020.08.03 52

損益状況

FY2019 FY2020対前年増減率

(ご参考)

2019年10月31日発表のFY2020予想

売上高 12,782 11,272 -11.8% 11,100

SPE 11,667 10,609 -9.1% 10,350

FPD 1,112 660 -40.6% 748

売上総利益下段:売上総利益率

5,26141.2%

4,51940.1%

-14.1%-1.1pts

4,45040.1%

販管費 2,156 2,146 -0.4% 2,200

営業利益下段:営業利益率

3,10524.3%

2,37221.0%

-23.6%-3.3pts

2,25020.3%

税金等調整前当期純利益 3,215 2,446 -23.9% 2,290親会社株主に帰属する当期純利益 2,482 1,852 -25.4% 1,700

1株当たり当期純利益(円) 1,513.58 1,170.57 -22.7% 1,074.47*

研究開発費 1,139 1,202 +5.5% 1,230

設備投資額 497 546 +9.9% 560

減価償却費 243 291 +19.7% 330

1. 当社の主力製品である半導体製造装置およびFPD製造装置の輸出売上は、原則円建てでおこなわれます。一部にドル建ての決済もありますが、受注時に個別に先物為替予約を付し、為替変動リスクをヘッジしています。

2. 利益率および増減率は、1円単位の金額をもとに計算しています。3. 1株当たり当期純利益の予想は、2020年1月30日発表

(億円)

CORP IR / 2020.08.03 53

セグメント情報

1. セグメント利益は、税金等調整前当期純利益です。2. 上記報告セグメントに配分していない全社費用(主に基礎研究又は要素研究等の研究開発費)があります。3. 売上構成比率は外部顧客に対する売上高で算出しています。

91 94

9 6

0%

50%

100%

FY'19 FY'20

11,667

10,609

3,267 2,704

28.0%25.5%

0%

10%

20%

30%

40%

50%

60%

0

4,000

8,000

12,000

16,000

FY'19 FY'20

1,112

660

242

105

21.8%

16.0%

0%

10%

20%

30%

40%

50%

60%

0

400

800

1,200

1,600

FY'19 FY'20

SPE(半導体製造装置) 売上構成比率FPD(フラットパネルディスプレイ製造装置)

SPE

FPD

(億円) (億円)

売上高

セグメント利益

セグメント利益率

売上高

セグメント利益

セグメント利益率

CORP IR / 2020.08.03 54

ロジック/ファウンドリにおいて、データ

センターや5G対応のスマートフォン向け

の高性能プロセッサの需要増に伴い、

最先端世代の生産能力増強への投資増大

が売上に大きく貢献

メモリでは、過去数年に渡る生産能力

増強が一服し、投資は調整局面となった

SPE部門新規装置 アプリケーション別売上構成比

25% 21%34%

11% 10%

26%

40% 38%

20%

24%31%

20%

8,146

8,887

7,651

0

4,000

8,000

12,000

FY'18 FY'19 FY'20

DRAM

不揮発性メモリ

ロジックファウンドリ

ロジック & その他 (MPU, AP, その他)

(億円)

グラフは新規装置の売上高における構成比を示しています。フィールドソリューションの売上高を含んでいません。

CORP IR / 2020.08.03 55

最先端ロジック/ファウンドリ向け投資の

構成比が増加し、不揮発性メモリ向けが

減少したため、コータ/デベロッパの売上

構成比が増加

パワーデバイスやディスクリートなど

成熟世代への投資比率の上昇に伴い、

エッチング装置の売上構成比が減少

SPE部門新規装置 製品別売上構成比

1% 1% 1%6% 7% 6%

10% 9% 10%

23% 20%20%

40% 40%

37%

20%23%

26%

8,146

8,887

7,651

0

4,000

8,000

12,000

FY'18 FY'19 FY'20

コータ/デベロッパ

エッチング装置

成膜装置

洗浄装置

ウェーハプローバ

その他

(億円)

グラフは新規装置の売上高における構成比を示しています。フィールドソリューションの売上高を含んでいません。

CORP IR / 2020.08.03 56

1,500

1,888 2,002

1,010

994 1,045

2,510

2,882 3,048

0

1,000

2,000

3,000

FY'18 FY'19 FY'20

フィールドソリューション売上高

(億円)

中古装置・改造

パーツ・サービス

FY2020は、フィールドソリューションの

売上高は、前期比5.7%増加の3,048億円

インストールベースの増加と顧客の高い

稼動率によるパーツ・サービスの売上は

堅調。顧客が資産を有効活用できる中古

装置や改造ビジネスも増加

CORP IR / 2020.08.03 57

損益状況(四半期)

FY2020 FY2021

Q1 Q2 Q3 Q4 Q1対FY2020 Q4

増減

売上高 2,164 2,920 2,954 3,233 3,148 -2.6%

SPE 1,981 2,718 2,820 3,089 3,037 -1.7%

FPD 182 201 133 143 110 -23.4%

売上総利益下段:売上総利益率

89841.5%

1,14639.3%

1,17539.8%

1,29840.2%

1,28440.8%

-1.1%+0.6pts

販管費 473 547 528 597 546 -8.5%

営業利益下段:営業利益率

42519.7%

59920.5%

64721.9%

70121.7%

73823.5%

+5.3%+1.8pts

税金等調整前当期純利益 445 620 646 733 746 +1.8%

親会社株主に帰属する当期純利益 318 468 493 571 564 -1.2%

研究開発費 256 312 298 334 301 -10.0%

設備投資額 76 220 132 117 132 +12.6%

減価償却費 60 67 76 86 71 -16.9%

1. 当社の主力製品である半導体製造装置およびFPD製造装置の輸出売上は、原則円建てでおこなわれます。一部にドル建ての決済もありますが、受注時に個別に先物為替予約を付し、為替変動リスクをヘッジしています。

2. 利益率および増減率は、1円単位の金額をもとに計算しています。

(億円)

SPE:半導体製造装置、FPD:フラットパネルディスプレイ製造装置

CORP IR / 2020.08.03 58

1,981

2,718 2,820

3,089 3,037

464

686 725 828 837

23.5%25.2% 25.7%

26.8% 27.6%

0%

10%

20%

30%

40%

50%

0

800

1,600

2,400

3,200

4,000

Q1FY'20

Q2 Q3 Q4 Q1FY'21

セグメント情報(四半期)

1. セグメント利益は、税金等調整前当期純利益です。2. 上記報告セグメントに配分していない基礎研究または要素研究等の研究開発費、およびその他の一般管理費等があります。3. 売上構成比率は外部顧客に対する売上高で算出しています。

182 201

133 143

110

35 26

16 27

5

19.2%

13.1% 12.6%

19.3%

4.7%

0%

10%

20%

30%

40%

50%

0

80

160

240

320

400

Q1FY'20

Q2 Q3 Q4 Q1FY'21

(億円)

SPE(半導体製造装置)

(億円)

92 93 95 96 97

8 7 5 4 3

0%

50%

100%

Q1FY'20

Q2 Q3 Q4 Q1FY'21

SPE

FPD

売上構成比率

売上高

セグメント利益

セグメント利益率

売上高

セグメント利益

セグメント利益率

FPD(フラットパネルディスプレイ製造装置)

CORP IR / 2020.08.03 59

SPE部門地域別売上高(四半期)

2,804

3,580

2,395

2,887

1,981

2,718 2,820 3,089 3,037

0

1,000

2,000

3,000

4,000

2018… 2018… 2018… 2019… 2019… 2019… 2019… 2020… 2020…

(億円)

Q1

FY’19

Q2 Q3 Q4 Q1

FY’20

Q2 Q3 Q4 Q1

FY’21

日本 511 580 540 427 325 410 359 496 491

北米 284 459 227 347 285 588 610 572 423

欧州 177 313 186 253 202 144 108 133 157

韓国 889 865 609 689 369 364 310 471 670

台湾 263 480 293 591 483 487 762 831 509

中国 549 713 380 425 270 568 591 506 739

東南アジア・他 127 168 156 152 44 155 77 77 46

CORP IR / 2020.08.03 60

SPE部門新規装置 アプリケーション別売上構成比(四半期)

17%23%

18%26% 33%

32% 35% 36%28%

4%

11%

9%

15%26%

18%27%

32%

21%49%

36%

45%

24%

15%

24%

20%

18%

25%

30%

30%

28%

35%

26%

26%18%

14%26%

2,197

2,845

1,698

2,145

1,306

1,984 2,097

2,263 2,219

0

500

1,000

1,500

2,000

2,500

3,000

Q1FY'19

Q2 Q3 Q4 Q1FY'20

Q2 Q3 Q4 Q1FY'21

(億円)

DRAM

不揮発性メモリ

ロジックファウンドリ

ロジック & その他

(MPU、AP、その他)

グラフは新規装置の売上高における構成比を示しています。フィールドソリューションの売上高を含んでいません。

CORP IR / 2020.08.03 61

Q1

FY’19

Q2 Q3 Q4 Q1

FY’20

Q2 Q3 Q4 Q1

FY’21

SPE売上高 606 734 696 742 674 734 722 826 818

FPD売上高 25 27 28 21 21 23 23 20 18

フィールドソリューション売上高(四半期)

(億円)

632

761725

763

696758 746

846 837

0

200

400

600

800

1,000

CORP IR / 2020.08.03 62

貸借対照表(四半期)

* 現金同等物:現預金+短期投資等(貸借対照表上の表示は有価証券)

1,172 1,202 1,284 1,295 1,460 88 93 95 109 111

1,519 1,668 1,732 1,755 1,812 530 429 620 818 376

3,810 3,763 3,857 3,920 4,139

963 1,118 1,256

1,501 1,660

3,408 3,399 2,922

3,384 3,226

11,493 11,675 11,770

12,784 12,787

Q1FY'20

Q2 Q3 Q4 Q1FY'21

8,193 8,031 7,801 8,296 8,483

3,300 3,644 3,968 4,488 4,303

11,493 11,675 11,770

12,784 12,787

Q1FY'20

Q2 Q3 Q4 Q1FY'21

(億円) (億円)

現金同等物*

売上債権

たな卸資産

その他の流動資産

有形固定資産

負債

純資産

無形固定資産

投資その他の資産

資産 負債・純資産

CORP IR / 2020.08.03 63

たな卸資産・売上債権の回転日数(四半期)

3,148

46 43 40 42

29

37 41

49 49

117

96 102 101

116

125 125 127 123

0

40

80

120

160

0

1,000

2,000

3,000

4,000

Q1FY'19

Q2 Q3 Q4 Q1FY'20

Q2 Q3 Q4 Q1FY'21

(億円) (日)

回転日数=売上債権もしくはたな卸資産÷ 各四半期末までの12ヶ月間売上高× 365

売上高

売上債権回転日数

たな卸資産回転日数

CORP IR / 2020.08.03 64

キャッシュ・フロー(四半期)(億円)

527

-151

-535

376

-1,200

-900

-600

-300

0

300

600

900

1,200

*1 投資キャッシュ・フローは、定期預金および短期投資の増減を除いた金額です。

*2 フリーキャッシュ・フロー =営業キャッシュ・フロー+投資キャッシュ・フロー(定期預金および短期投資の増減を除く)

*3 手元資金は、現金及び現金同等物と満期日または償還日までの期間が3カ月を超える定期預金および短期投資の合計額です。

Q1

FY’19

Q2 Q3 Q4 Q1

FY’20

Q2 Q3 Q4 Q1

FY’21

営業キャッシュ・フロー 514 840 -110 650 594 817 521 597 527

投資キャッシュ・フロー*1 -121 -122 -11 -144 -85 -158 -177 -114 -151

財務キャッシュ・フロー -569 -50 -678 -0 -999 -654 -844 -4 -535

フリーキャッシュ・フロー*2 393 717 -121 505 509 658 344 483 376

手元資金残高*3 3,555 4,237 3,411 3,926 3,408 3,399 2,922 3,384 3,226

自己株式取得

-432

-567 -653

-0

-454

-390

CORP IR / 2020.08.03 65

(百万円)

10年間の主要財務データ

* 2019年3月期より「『税効果会計に係る会計基準』の一部改正」(企業会計基準第28号 2018年2月16日)を適用しており、2018年3月期の「総資産」および「自己資本比率」は、当該会計基準を遡って適用した後の数値を記載しています。

2011年 2012年 2013年 2014年 2015年 2016年 2017年 2018年 2019年 2020年 2011年 2012年 2013年 2014年 2015年 2016年 2017年 2018年 2019年 2020年

3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期

売上高 668,722 633,091 497,299 612,170 613,124 663,948 799,719 1,130,728 1,278,240 1,127,286 有利子負債 7,996 4,402 3,756 13,531 ― ― ― ― ― ―

半導体製造装置 511,331 477,873 392,026 478,841 576,242 613,032 749,893 1,055,234 1,166,781 1,060,997 自己資本 572,741 586,789 593,032 578,091 639,483 562,369 643,094 767,146 880,748 819,301

FPD製造装置 66,721 69,888 20,160 28,317 32,709 44,687 49,387 75,068 111,261 66,092 総資産 809,205 783,610 775,527 828,591 876,153 793,367 957,447 1,202,796 1,257,627 1,278,495

PV製造装置 3,805 3,617

コンピュータ・ネットワーク 90,216 84,867 84,664 100,726 デット・エクイティ・レシオ 1.4% 0.8% 0.6% 2.3% ― ― ― ― ― ―

電子部品 自己資本比率 70.8% 74.9% 76.5% 69.8% 73.0% 70.9% 67.2% 63.8% 70.0% 64.1%

その他 453 461 448 479 555 6,228 438 425 197 197 ROE 13.3% 6.3% 1.0% -3.3% 11.8% 13.0% 19.1% 29.0% 30.1% 21.8%

売上総利益 234,758 211,444 158,754 201,892 242,773 267,209 322,291 475,032 526,183 451,941 営業キャッシュ・フロー 83,238 29,712 84,266 44,449 71,806 69,398 136,948 186,582 189,572 253,117

売上総利益率 35.1% 33.4% 31.9% 33.0% 39.6% 40.2% 40.3% 42.0% 41.2% 40.1% 投資キャッシュ・フロー -35,881 -8,352 -141,769 -19,599 155,737 -150,013 -28,893 -11,833 -84,033 15,951

販売費及び一般管理費 136,887 151,001 146,206 169,687 154,660 150,420 166,594 193,860 215,612 214,649 財務キャッシュ・フロー -5,236 -27,334 -10,625 -186 -18,213 -138,600 -39,380 -82,549 -129,761 -250,374

営業利益 97,870 60,443 12,548 32,204 88,113 116,788 155,697 281,172 310,571 237,292

営業利益率 14.6% 9.5% 2.5% 5.3% 14.4% 17.6% 19.5% 24.9% 24.3% 21.0% 401.73 205.04 33.91 -108.31 401.08 461.10 702.26 1,245.48 1,513.58 1,170.57

経常利益 101,919 64,046 16,696 35,487 92,949 119,399 157,549 280,737 321,662 244,979 114 80 51 50 143 237 352 624 758 588

税前利益 99,579 60,602 17,766 -11,756 86,827 106,466 149,116 275,242 321,508 244,626

親会社株主に帰属する当期純利益 71,924 36,725 6,076 -19,408 71,888 77,891 115,208 204,371 248,228 185,206 従業員数(人) 10,343 10,684 12,201 12,304 10,844 10,629 11,241 11,946 12,742 13,837

減価償却費 17,707 24,197 26,630 24,888 20,878 19,257 17,872 20,619 24,323 29,107

設備投資額 39,140 39,541 21,773 12,799 13,183 13,341 20,697 45,603 49,754 54,666

研究開発費 70,568 81,506 73,248 78,663 71,349 76,286 83,800 97,103 113,980 120,268

1株当たり当期純利益

1株当たり配当金(円)

CORP IR / 2020.08.03 66

将来見通しについて

本資料に記述されている当社の事業計画、将来予測などは、当社が作成時点で入手可能な情報に基づいて判断したものであり、経済情勢、半導体/FPD市況、販売競争の激化、急速な技術革新への当社の対応力、安全・品質管理、知的財産権に関するリスク、新型コロナウイルスの影響など、さまざまな外部要因・内部要因の変化により、実際の業績、成果はこれら見通しと大きく異なる結果となる可能性があります。

数字の処理について

記載された金額は単位未満を切り捨て処理、比率は1円単位の金額で計算した結果を四捨五入処理しているため、内訳の計が合計と一致しない場合があります。

為替リスクについて

当社の主力製品である半導体製造装置及びFPD製造装置の輸出売上は、原則円建てでおこなわれます。一部にドル建ての決済もありますが、受注時に個別に先物為替予約を付し、為替変動リスクをヘッジしています。したがって、収益への為替レート変動による影響は極めて軽微です。

Gartnerのデータについて(6、7ページ)

本プレゼンテーションにおいてガートナーに帰属するすべての記述は、ガートナーの顧客向けに発行された配信購読サービスの一部として発行されたデータ、リサーチ・オピニオン、または見解に関する東京エレクトロンによる解釈であり、ガートナーによる本プレゼンテーションのレビューは行われておりません。ガートナーの発行物は、その発行時点における見解であり、本プレゼンテーション発行時点のものではありません。ガートナーの発行物で述べられた意見は、事実を表現したものではなく、事前の予告なしに変更されることがあります。

FPD:フラットパネルディスプレイ

CORP IR / 2020.08.03 67