Efficient and Accurate Frequency Synthesizer Model for SoC ...amrfahim.com/docs/soc2013.pdfNon-ideal...

21
Efficient and Accurate Frequency Synthesizer Model for SoC Processors The 11 th International System-on-Chip (SoC) Conference, Exhibit, and Workshops, October 2013, Irvine, California www.SoCconference.com for SoC Processors Dr. Amr Fahim Semtech Corporation

Transcript of Efficient and Accurate Frequency Synthesizer Model for SoC ...amrfahim.com/docs/soc2013.pdfNon-ideal...

Page 1: Efficient and Accurate Frequency Synthesizer Model for SoC ...amrfahim.com/docs/soc2013.pdfNon-ideal analog effects ignored October 23, 2013 11th Int’l SOC Conference, Irvine, CA

Efficient and Accurate

Frequency Synthesizer Model

for SoC Processors

The 11th International System-on-Chip (SoC) Conference, Exhibit, and Workshops, October 2013, Irvine, California

www.SoCconference.com

for SoC Processors

Dr. Amr Fahim

Semtech Corporation

Page 2: Efficient and Accurate Frequency Synthesizer Model for SoC ...amrfahim.com/docs/soc2013.pdfNon-ideal analog effects ignored October 23, 2013 11th Int’l SOC Conference, Irvine, CA

Outline

� Target SoC Processors

� Frequency Synthesizers in SoC Processors

� Conventional Modeling Approaches

� Proposed Modeling Approach

� Simulation and Experimental Results

October 23, 2013 211th Int’l SOC Conference, Irvine, CA

Page 3: Efficient and Accurate Frequency Synthesizer Model for SoC ...amrfahim.com/docs/soc2013.pdfNon-ideal analog effects ignored October 23, 2013 11th Int’l SOC Conference, Irvine, CA

Target SoC Processors

� Typical RF SoC Processor:

October 23, 2013 311th Int’l SOC Conference, Irvine, CA

Page 4: Efficient and Accurate Frequency Synthesizer Model for SoC ...amrfahim.com/docs/soc2013.pdfNon-ideal analog effects ignored October 23, 2013 11th Int’l SOC Conference, Irvine, CA

Target SoC Processors

� Typical Serdes SoC Processor:

� Based on: SMI10031 (4:10 CDR Demux)

October 23, 2013 411th Int’l SOC Conference, Irvine, CA

Page 5: Efficient and Accurate Frequency Synthesizer Model for SoC ...amrfahim.com/docs/soc2013.pdfNon-ideal analog effects ignored October 23, 2013 11th Int’l SOC Conference, Irvine, CA

Frequency Synthesizers in SoC Processors

� Σ∆ Fractional-N Phase-Locked Loop (PLL)

October 23, 2013 511th Int’l SOC Conference, Irvine, CA

fn ∈ [0,1)

Page 6: Efficient and Accurate Frequency Synthesizer Model for SoC ...amrfahim.com/docs/soc2013.pdfNon-ideal analog effects ignored October 23, 2013 11th Int’l SOC Conference, Irvine, CA

Frequency Synthesizers in SoC Processors

� SD modulation basics:

Modulator Linear model

October 23, 2013 611th Int’l SOC Conference, Irvine, CA

STF � Low-pass filter

NTF � High pass filter

Quantization noise � additive white Gaussian noise only if step size is uniform

If step size is non-uniform, NTF shape breaks down.

Page 7: Efficient and Accurate Frequency Synthesizer Model for SoC ...amrfahim.com/docs/soc2013.pdfNon-ideal analog effects ignored October 23, 2013 11th Int’l SOC Conference, Irvine, CA

Frequency Synthesizers in SoC Processors

� Sigma-Delta Noise Folding:

� Plot shows ideal (0%) and 2% mismatch

� Nonlinearity causes high frequency quantization noise to fold back in-band

Noise folding causes an increase

in in-band noise level

October 23, 2013 711th Int’l SOC Conference, Irvine, CA

in in-band noise level

Page 8: Efficient and Accurate Frequency Synthesizer Model for SoC ...amrfahim.com/docs/soc2013.pdfNon-ideal analog effects ignored October 23, 2013 11th Int’l SOC Conference, Irvine, CA

Frequency Synthesizers in SoC Processors

� Where does non-linear quantization noise steps arise in Σ∆ PLLs?� Charge pump current mismatch

Charge pump dynamic current mismatch

October 23, 2013 811th Int’l SOC Conference, Irvine, CA

Page 9: Efficient and Accurate Frequency Synthesizer Model for SoC ...amrfahim.com/docs/soc2013.pdfNon-ideal analog effects ignored October 23, 2013 11th Int’l SOC Conference, Irvine, CA

Frequency Synthesizers in SoC Processors

� PLL intrinsic noise is sum of noise sources of its components

� Jitter is the phase variation resulting from amplitude noise (AM�PM conversion of noise).

October 23, 2013 911th Int’l SOC Conference, Irvine, CA

AM����PM conversion of noise

Page 10: Efficient and Accurate Frequency Synthesizer Model for SoC ...amrfahim.com/docs/soc2013.pdfNon-ideal analog effects ignored October 23, 2013 11th Int’l SOC Conference, Irvine, CA

Frequency Synthesizers in SoC Processors

� Not all jitter created equal !

TJ

Total Jitter

RJ

Random Jitter

DJ

Deterministic Jitter

October 23, 2013 1011th Int’l SOC Conference, Irvine, CA

Random Jitter Deterministic Jitter

PJ

Periodic Jitter

BUJ

Bounded Uncorrelated JitterDDJ

Data Dependent Jitter

DCD

Duty Cycle DistortionISI

Inter Symbol Interference

DDPWS

Data Dependent – Pulse

Width Shrinkage

Page 11: Efficient and Accurate Frequency Synthesizer Model for SoC ...amrfahim.com/docs/soc2013.pdfNon-ideal analog effects ignored October 23, 2013 11th Int’l SOC Conference, Irvine, CA

Conventional Modeling Approaches

� Level 0: Classical SoCVerification flow

� Limited functional modeling of analog blocks

� Ideal clock for frequency synthesizersynthesizer

� Issues:

� Maintenance and verification of analog models

� Non-ideal analog effects ignored

October 23, 2013 1111th Int’l SOC Conference, Irvine, CA

Page 12: Efficient and Accurate Frequency Synthesizer Model for SoC ...amrfahim.com/docs/soc2013.pdfNon-ideal analog effects ignored October 23, 2013 11th Int’l SOC Conference, Irvine, CA

Conventional Modeling Approaches

� Level 1: Analog Functional Verification Flow

� Model analog blocks using Verilog-AMS

� Frequency synthesizer ideal

� Issues:� Issues:

� Analog-centric flow –limited digital verification

� How to simulate end-to-end verification in reasonable time?

� Maintenance and verification of analog models

October 23, 2013 1211th Int’l SOC Conference, Irvine, CA

Page 13: Efficient and Accurate Frequency Synthesizer Model for SoC ...amrfahim.com/docs/soc2013.pdfNon-ideal analog effects ignored October 23, 2013 11th Int’l SOC Conference, Irvine, CA

Proposed Modeling Approach

� Include analog effects in a Verilog-D model

� This would include device noise

� This would include analog non-linearities and non-idealities

� Verilog is an event driven simulator � large speedups are now possible

� A phase-locked loop (PLL) is used to illustrate the modeling methodology

� A parameterizable PLL model is implemented that would make maintenance straightforward

� Main nonlinearity concern is the charge pump

� Other non-idealities arising from the Verilog-D simulator are also addressed

October 23, 2013 1311th Int’l SOC Conference, Irvine, CA

Page 14: Efficient and Accurate Frequency Synthesizer Model for SoC ...amrfahim.com/docs/soc2013.pdfNon-ideal analog effects ignored October 23, 2013 11th Int’l SOC Conference, Irvine, CA

Proposed Modeling Approach

� PLL Loop Filter and charge pump nonlinearity combinedCharge pump dynamic current mismatch

October 23, 2013 1411th Int’l SOC Conference, Irvine, CA

Steps:

1. Curve fit charge pump nonlinearity

2. Compute the composite Laplace transform of charge pump + loop filter

3. Use Partial Fraction expansion to break into single terms

4. Apply inverse Laplace transform to the resulting terms (exponential terms result)

5. Use Taylor Series expansion of each exponential term and limit number of terms

fast simulation time).

Page 15: Efficient and Accurate Frequency Synthesizer Model for SoC ...amrfahim.com/docs/soc2013.pdfNon-ideal analog effects ignored October 23, 2013 11th Int’l SOC Conference, Irvine, CA

Proposed Modeling Approach

� Modified VCO model:

� Verilog-D resolution is limited to 1fs

� If VCO period is 250ps (4GHz frequency), the minimum increment in period is 250.001ps � minimum step size of 16kHz, too coarse for most applications (especially synchronous Gb Ethernet applications).

� Proposed solution: dither periodically to obtain a fractional amount as required.required.

• Measure the actual period and subtract from desired period

• Accumulate this error, when it exceeds 1fs, subtract 1fs from this error and adjust the next VCO period to be larger by 1fs

� The proposed solution introduces a spur in the output spectrum, but its magnitude is sufficiently low

October 23, 2013 1511th Int’l SOC Conference, Irvine, CA

Page 16: Efficient and Accurate Frequency Synthesizer Model for SoC ...amrfahim.com/docs/soc2013.pdfNon-ideal analog effects ignored October 23, 2013 11th Int’l SOC Conference, Irvine, CA

Proposed Modeling Approach

� Noise modeling strategy:

� Obtain noise numbers from transistor level periodic steady-state (pss) noise simulation of each PLL sub-block

� Noise will be a composite of flicker and thermal noise

� Flicker noise:

� Based on well-established and efficient Voss-McCartney algorithm to generate pink (1/f) noise densities (as well as 1/f3 for VCO up-converted generate pink (1/f) noise densities (as well as 1/f3 for VCO up-converted flicker noise).

� Thermal Noise:

� White noise, uniformly distributed

� Verilog-D built-in function: $dist_normal()

October 23, 2013 1611th Int’l SOC Conference, Irvine, CA

Page 17: Efficient and Accurate Frequency Synthesizer Model for SoC ...amrfahim.com/docs/soc2013.pdfNon-ideal analog effects ignored October 23, 2013 11th Int’l SOC Conference, Irvine, CA

Simulation Results

� Transient simulation validating Verilog-D model

� Difference in behavior due to nonlinear varactor characteristic not being modeled in Verilog-D

October 23, 2013 1711th Int’l SOC Conference, Irvine, CA

Page 18: Efficient and Accurate Frequency Synthesizer Model for SoC ...amrfahim.com/docs/soc2013.pdfNon-ideal analog effects ignored October 23, 2013 11th Int’l SOC Conference, Irvine, CA

Simulation Results

� PLL Simulation Time:

� 200usec transient simulation

� Only Verilog-D model contains noise information

� Using Icarus Verilog running on an Intel i7-2.4GHz machine

Model Type Simulation TimeModel Type Simulation Time

Transistor Level 1636 minutes

Verilog-A 36.3 minutes

Verilog-D 2.75 minutes

October 23, 2013 1811th Int’l SOC Conference, Irvine, CA

Page 19: Efficient and Accurate Frequency Synthesizer Model for SoC ...amrfahim.com/docs/soc2013.pdfNon-ideal analog effects ignored October 23, 2013 11th Int’l SOC Conference, Irvine, CA

Simulation Results

� FFT of transient simulation with and without noise folding effect:

� Linear & non-linear charge pump

� 20ms transient simulation

October 23, 2013 1911th Int’l SOC Conference, Irvine, CA

Page 20: Efficient and Accurate Frequency Synthesizer Model for SoC ...amrfahim.com/docs/soc2013.pdfNon-ideal analog effects ignored October 23, 2013 11th Int’l SOC Conference, Irvine, CA

Conclusions

� A novel modeling approach to frequency synthesizer modeling is demonstrated that is compatible with digital verification flows

� Non-linear noise folding effect in Σ∆ PLL frequency synthesizer is well predicted

� Noise models were also included to provide a full picture of total performance

� Modeling methodology can be extended to other analog/RF circuits

October 23, 2013 11th Int’l SOC Conference, Irvine, CA 20

Page 21: Efficient and Accurate Frequency Synthesizer Model for SoC ...amrfahim.com/docs/soc2013.pdfNon-ideal analog effects ignored October 23, 2013 11th Int’l SOC Conference, Irvine, CA

References

1. J. Park, K. Muhammad, and K. Roy, “Efficient Modeling of 1/fA Noise Using Multirate Process,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 25, No. 7, July 2006, pp. 1247-1256.

2. A. Kuo, T. Farahmand, N. Ou, S. Tabatabaei, and A. Ivanov, “Jitter Models and Measurement Methods for High-Speed Serial Interconnects,” ITC Int’l Test Conference, pp. 1295-1302, 2004.

3. J. Nan, J. Ren, M. Cong, and L. Mao, “Design of PLL behavioral model based on the Verilog-A,” 4th Int’l Symposium on MAPE, pp. 380-383, based on the Verilog-A,” 4th Int’l Symposium on MAPE, pp. 380-383, 2011.

4. T. Wen and. T. Kwasniewski, “Phase Noise Simulation and Modeling of ADPLL by SystemVerilog,” BMAS 2008, pp. 29-34, 2008.

5. Y. Wang, C. Van-Meersbergen, H. Groh, and S. Heinen, “Event Driven Analog Modeling for the Verification of PLL Frequency Synthesizers,” BMAS 2009, pp. 25-30, 2009.

6. A. Fahim, Clock Generators for SoC Processors: Circuits and Systems, Boston: Kluwer Academic Publishers, 2005.

October 23, 2013 2111th Int’l SOC Conference, Irvine, CA