Effect of simultaneous source and bias pulsing in ... · Effect of simultaneous source and bias...

12
Effect of simultaneous source and bias pulsing in inductively coupled plasma etching Ankur Agarwal, 1,a Phillip J. Stout, 1 Samer Banna, 1 Shahid Rauf, 1 Ken Tokashiki, 2 Jeong-Yun Lee, 2 and Ken Collins 1 1 Applied Materials Inc., 974 E. Arques Avenue, M/S 81517, Sunnyvale, California 94085, USA 2 Samsung Electronics, Hwasung City, Kyunggi-Do 445-701, Republic of Korea Received 16 July 2009; accepted 20 October 2009; published online 30 November 2009 Pulsed rf plasmas show promise to overcome challenges for plasma etching at future technological nodes. In pulsed plasmas, it is important to characterize the transient phenomena to optimize plasma processing of materials. In particular, it is important to evaluate the effect of the ion energy and angular distribution IEAD functions during pulsing on etching of nanoscale features. In this work, the impact of simultaneous pulsing of both source and bias in an inductively coupled plasma on plasma characteristics and feature profile evolution is discussed using results from a two-dimensional reactor scale plasma model coupled to a Monte Carlo based feature profile model. Results are discussed for an Ar / Cl 2 gas mixture which is typically used for poly-Si etching. The consequences of duty cycle, pulse shape, and the phase lag between source and bias power pulses on discharge characteristics, IEADs to the wafer, and feature profile evolution are discussed. The low plasma density during the initial period of the pulse was found to introduce a high energy tail component to the IEADs. This high energy tail component can be affected by modifying the pulse shape. The Si etching rate is found to increase with increasing duty cycle but is lower compared to continuous mode of operation due to lower time averaged power deposition. Pulsing the source and bias out of phase provides for increased ion energies and fluxes to the wafer for a given duty cycle. © 2009 American Institute of Physics. doi:10.1063/1.3262616 I. INTRODUCTION Plasma etching processes for microelectronic fabrication are facing challenges as device scaling continues below the 45 nm technology node. 1 As the feature sizes shrink, require- ments regarding etch rate uniformity, control of critical di- mensions, and microloading are becoming more stringent. 2 In addition, microelectronic devices are becoming more sus- ceptible to plasma damage, both physical and electrical. 3 One technique that is promising regarding plasma uniformity control and reduction of charge damage during etching is pulsing, that is, time modulating the rf power source. 4 While capacitively coupled variants 5 exist, pulsed rf plasmas of in- terest are typically inductively coupled plasmas ICPs where the power is typically modulated using a square wave. Pulsed plasmas are characterized by two main parameters, pulse frequency and pulse duty cycle, which provide addi- tional degrees of freedom to optimize plasma process char- acteristics. Additional bias power is also capacitively coupled in ICP systems, which provides ion acceleration. Recent experiments have shown that the operating window of pulsed plasma operation can be expanded considerably by synchronously pulsing both the source and bias powers in ICP etchers. 6,7 We explore the ramifications of synchronous rf source and bias pulsing on plasma and etch characteristics in this article. The effects of the pulse duty cycle, pulse shape, and phase difference between the source and bias pulses on pulsed plasma characteristics, ion energy and an- gular distributions IEADs, and feature profile etching are discussed for silicon etching in Ar / Cl 2 plasmas. Many experimental studies have investigated the appli- cations and effect of rf source pulsing in high density plas- mas in the literature. 816 For example, pulsed plasmas have been shown to improve selectivity while achieving highly anisotropic etching of features which are damage-free. Mu- kai et al. 8,9 reported improved properties of magnetic films during Cl 2 plasma etching using a pulse-modulated electron cyclotron resonance ECR plasma source. Kanakasabapathy et al. 10 demonstrated that an electron-free ion-ion plasma can be achieved in pulsed electronegative discharges. A low- frequency 20 kHz bias applied during the power-off period enables alternating fluxes of positive and negative ions which reduce differential charging of the features in Cl 2 plas- mas. Overzet et al. 11 performed time resolved measurements in pulsed SF 6 ICP discharge and observed a large negative ion flux to the walls immediately at the end of power-on period due to the momentary reversal of sheath electric fields. Mieno and Samukawa 12 also achieved fluxes of nega- tive ions to the substrate in pulsed Cl 2 ECR discharge as the plasma potential decays in the afterglow. Samukawa and Meino 13 and Samukawa and Ohtake 14 achieved highly selec- tive, anisotropic, notch-free, and damage-free p-Si etching in pulsed Cl 2 ECR discharges. Malyshev and Donnelly 15 inves- tigated the characteristics of pulsed Cl 2 ICPs with a continu- ous wave rf substrate bias. They found no significant differ- ences in plasma characteristics with or without rf bias during the power-on period. The electron temperature increased rap- idly during the late afterglow. Malyshev et al. 16 reported a Author to whom correspondence should be addressed. Electronic mail: [email protected]. JOURNAL OF APPLIED PHYSICS 106, 103305 2009 0021-8979/2009/10610/103305/12/$25.00 © 2009 American Institute of Physics 106, 103305-1 Author complimentary copy. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

Transcript of Effect of simultaneous source and bias pulsing in ... · Effect of simultaneous source and bias...

Page 1: Effect of simultaneous source and bias pulsing in ... · Effect of simultaneous source and bias pulsing in inductively coupled plasma etching Ankur Agarwal,1,a Phillip J. Stout,1

Effect of simultaneous source and bias pulsing in inductively coupledplasma etching

Ankur Agarwal,1,a� Phillip J. Stout,1 Samer Banna,1 Shahid Rauf,1 Ken Tokashiki,2

Jeong-Yun Lee,2 and Ken Collins1

1Applied Materials Inc., 974 E. Arques Avenue, M/S 81517, Sunnyvale, California 94085, USA2Samsung Electronics, Hwasung City, Kyunggi-Do 445-701, Republic of Korea

�Received 16 July 2009; accepted 20 October 2009; published online 30 November 2009�

Pulsed rf plasmas show promise to overcome challenges for plasma etching at future technologicalnodes. In pulsed plasmas, it is important to characterize the transient phenomena to optimize plasmaprocessing of materials. In particular, it is important to evaluate the effect of the ion energy andangular distribution �IEAD� functions during pulsing on etching of nanoscale features. In this work,the impact of simultaneous pulsing of both source and bias in an inductively coupled plasma onplasma characteristics and feature profile evolution is discussed using results from atwo-dimensional reactor scale plasma model coupled to a Monte Carlo based feature profile model.Results are discussed for an Ar /Cl2 gas mixture which is typically used for poly-Si etching. Theconsequences of duty cycle, pulse shape, and the phase lag between source and bias power pulseson discharge characteristics, IEADs to the wafer, and feature profile evolution are discussed. Thelow plasma density during the initial period of the pulse was found to introduce a high energy tailcomponent to the IEADs. This high energy tail component can be affected by modifying the pulseshape. The Si etching rate is found to increase with increasing duty cycle but is lower compared tocontinuous mode of operation due to lower time averaged power deposition. Pulsing the source andbias out of phase provides for increased ion energies and fluxes to the wafer for a given duty cycle.© 2009 American Institute of Physics. �doi:10.1063/1.3262616�

I. INTRODUCTION

Plasma etching processes for microelectronic fabricationare facing challenges as device scaling continues below the45 nm technology node.1 As the feature sizes shrink, require-ments regarding etch rate uniformity, control of critical di-mensions, and microloading are becoming more stringent.2

In addition, microelectronic devices are becoming more sus-ceptible to plasma damage, both physical and electrical.3

One technique that is promising regarding plasma uniformitycontrol and reduction of charge damage during etching ispulsing, that is, time modulating the rf power source.4 Whilecapacitively coupled variants5 exist, pulsed rf plasmas of in-terest are typically inductively coupled plasmas �ICPs� wherethe power is typically modulated using a square wave.Pulsed plasmas are characterized by two main parameters,pulse frequency and pulse duty cycle, which provide addi-tional degrees of freedom to optimize plasma process char-acteristics. Additional bias power is also capacitivelycoupled in ICP systems, which provides ion acceleration.Recent experiments have shown that the operating windowof pulsed plasma operation can be expanded considerably bysynchronously pulsing both the source and bias powers inICP etchers.6,7 We explore the ramifications of synchronousrf source and bias pulsing on plasma and etch characteristicsin this article. The effects of the pulse duty cycle, pulseshape, and phase difference between the source and biaspulses on pulsed plasma characteristics, ion energy and an-

gular distributions �IEADs�, and feature profile etching arediscussed for silicon etching in Ar /Cl2 plasmas.

Many experimental studies have investigated the appli-cations and effect of rf source pulsing in high density plas-mas in the literature.8–16 For example, pulsed plasmas havebeen shown to improve selectivity while achieving highlyanisotropic etching of features which are damage-free. Mu-kai et al.8,9 reported improved properties of magnetic filmsduring Cl2 plasma etching using a pulse-modulated electroncyclotron resonance �ECR� plasma source. Kanakasabapathyet al.10 demonstrated that an electron-free ion-ion plasma canbe achieved in pulsed electronegative discharges. A low-frequency �20 kHz� bias applied during the power-off periodenables alternating fluxes of positive and negative ionswhich reduce differential charging of the features in Cl2 plas-mas. Overzet et al.11 performed time resolved measurementsin pulsed SF6 ICP discharge and observed a large negativeion flux to the walls immediately at the end of power-onperiod due to the momentary reversal of sheath electricfields. Mieno and Samukawa12 also achieved fluxes of nega-tive ions to the substrate in pulsed Cl2 ECR discharge as theplasma potential decays in the afterglow. Samukawa andMeino13 and Samukawa and Ohtake14 achieved highly selec-tive, anisotropic, notch-free, and damage-free p-Si etching inpulsed Cl2 ECR discharges. Malyshev and Donnelly15 inves-tigated the characteristics of pulsed Cl2 ICPs with a continu-ous wave rf substrate bias. They found no significant differ-ences in plasma characteristics with or without rf bias duringthe power-on period. The electron temperature increased rap-idly during the late afterglow. Malyshev et al.16 reported

a�Author to whom correspondence should be addressed. Electronic mail:[email protected].

JOURNAL OF APPLIED PHYSICS 106, 103305 �2009�

0021-8979/2009/106�10�/103305/12/$25.00 © 2009 American Institute of Physics106, 103305-1

Author complimentary copy. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

Page 2: Effect of simultaneous source and bias pulsing in ... · Effect of simultaneous source and bias pulsing in inductively coupled plasma etching Ankur Agarwal,1,a Phillip J. Stout,1

large degree of modulation in the electron density during thepulse due to the combined effect of loss of electrons viaambipolar diffusion and dissociative attachment during theafterglow in pulsed Cl2 ICPs.

Pulsed plasmas have also been investigated using a va-riety of computational models.17–25 Meyyappan17 used avolume-averaged model to investigate low pressure pulsedCl2 and CF4 discharges with a peak rf power of 1200 Wpulsed at 10 kHz and 25% duty cycle. Plasma characteristicswere observed to be similar to those reported by Ashida etal.18 for Ar discharges even at high electronegativity of Cl2discharge. In CF4, the CF2-to-F ratio was found to be onlymarginally enhanced due to the low threshold electron im-pact dissociation reactions which produce CF2 and F simul-taneously. Ashida and Lieberman19 and Lieberman andAshida20 also investigated high density pulsed Ar and Cl2discharges using global models. They found that for a dutycycle of 25%, the peak plasma density was higher than thatwith cw at the same average power. Lymberopoulos et al.21

used a one-dimensional fluid computational model to inves-tigate spatial and temporal dynamics of pulsed Ar ICPplasma. The results showed that the generation of superther-mal electrons by Ar metastable relaxation lengthened the de-cay time for the plasma potential in the afterglow. Midha andEconomou22 computationally demonstrated the transition ofan electron-ion dominant plasma to an ion-ion plasma duringthe afterglow in pulsed Cl2 plasma. During the active glow,the plasma was found to separate into an ion-ion core with anelectron-ion periphery. Ramamurthi and Economou23 inves-tigated the dynamics of pulsed Cl2 ICPs using a two-dimensional �2D� fluid computational model. They reporteda separation of plasma into an electronegative core and anelectropositive periphery during the power-on period. In thepower-off period, an ion-ion plasma is dominantly observed.Subramonium and Kushner24,25 used a 2D and three-dimensional hybrid model to investigate pulsed ICPs in Arand Cl2. During pulsed operation, diffusion of charged spe-cies during the power-off period smoothed the asymmetriesduring the next power-on period, thus improving uniformity.

Although the benefits of pulsed rf plasmas have beenamply documented, operation of the same for mass produc-tion has proven to be challenging due to the rapidly varyingplasma impedance within the pulse. Commercial dynamicmatching networks should therefore be able to track theplasma impedance to enable efficient power coupling andstable plasma operation. The response time of current me-chanical matching networks is however on the order of tensof milliseconds, thus limiting the operating window substan-tially and limiting their adoption for mass production. Ap-plied Materials Inc. has modified its commercial ICP300 mm Silicon etch tool, AdvantEdge™, to fully support theoperation of pulsed plasma along with developing multipletechniques for optimizing rf power delivery in pulsed rfmode.6,7 The matching networks’ response time is reduced toonly a few microseconds, allowing for the development ofetching processes utilizing plasma pulsed at low frequencies.One of the new features on this plasma etcher is the capabil-ity to synchronously pulse both the source and bias powers.Synchronous pulsing of both the source and bias rf sources

considerably expands the operating regime for pulsing andprovides additional degrees of freedom to optimize plasmaprocess characteristics.

The reactor and feature scale models used in this inves-tigation are described in Sec. II. The impact of source andbias pulsing on the plasma characteristics, IEADs, and fea-ture profile evolution in Ar /Cl2 plasmas is discussed in Sec.III. Concluding remarks are presented in Sec. IV.

II. DESCRIPTION OF THE MODELS

The Hybrid Plasma Equipment Model �HPEM� is usedto investigate plasma characteristics and reactant fluxes tothe substrate in the ICP reactor. A detailed description ofHPEM was presented in Ref. 25. Briefly, HPEM is a two-dimensional computational model, developed by the Compu-tational Plasma Science and Engineering Group �now at theUniversity of Michigan�, and consists of three main modules.Electromagnetic fields are calculated in the ElectromagneticsModule. These fields are then used in the Electron EnergyTransport Module to obtain the electron impact source func-tions and transport coefficients. The electron energy equationis solved for the average electron energy of bulk electronsand a Monte Carlo simulation is used to follow the trajecto-ries of sheath accelerated secondary electrons. Transport andrate coefficients for the bulk electrons are obtained by solv-ing Boltzmann’s equation for the electron energy distribu-tion. These results are used to solve separate continuity, mo-mentum, and energy equations for each ion and neutralspecies in the Fluid Kinetics Module �FKM�. A drift diffu-sion formulation using Scharfetter–Gummel fluxes is usedfor electrons to enable an implicit solution of Poisson’s equa-tion for the time varying electrostatic potential. Output fromthe FKM �densities and electrostatic fields� is then trans-ferred to the other modules.

The Plasma Chemistry Monte Carlo Module �PCMCM�in the HPEM produces the energy and angular distributionsfor neutrals and ions striking the wafer surface. The PCMCMlaunches pseudoparticles representing ions and neutralsbased on species source functions. Using time dependentelectric fields from the FKM, their trajectories are integratedwhile capturing their gas phase collisions and interactionswith the surface using the same reaction mechanism as in theHPEM. Statistics are collected on the energy and angle ofpseudoparticles as they strike specified locations on the sur-faces to produce time averaged energy and angular distribu-tions.

In this work, the FKM module is modified to achieve aspecified pulsed bias power. The time dependent electricfields are recorded during the entire pulse to enable charac-terization of the IEADs during the pulse period. The compu-tational strategy we use is as follows. Initial conditions �e.g.,plasma density and dissociation fraction� are estimated andthe model is executed with a fixed ICP power �time averagedvalue corresponding to the duty cycle� and pulsed rf bias toachieve a quasi-steady state in plasma characteristics. Afterachieving quasi-steady state, both ICP source and rf bias arepulsed and five such pulses are simulated using direct timeintegration in the model. The time steps are chosen to be

103305-2 Agarwal et al. J. Appl. Phys. 106, 103305 �2009�

Author complimentary copy. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

Page 3: Effect of simultaneous source and bias pulsing in ... · Effect of simultaneous source and bias pulsing in inductively coupled plasma etching Ankur Agarwal,1,a Phillip J. Stout,1

small enough to enable updating of the inductively coupledfields frequently. The plasma properties that we will discussin Sec. III are the reactor averaged quantities during the fifthpulse. We confirmed that the plasma properties do not sig-nificantly change with application of additional pulses. ThePCMCM is then executed using the electric fields recordedapproximately every 0.5 �s during the fifth pulse to obtainthe time history of the IEADs. The model agrees well withexperimentally observed trends as measured using a Lang-muir probe for a few representative conditions in Arplasmas.7

The IEADs and fluxes to the wafer obtained from theHPEM are used by a Monte Carlo based feature scale modelto predict etch profiles. The feature scale model has alsobeen previously described and will only be briefly summa-rized here.26,27 Particles representing ions and neutrals arelaunched from a source plane above the feature surface. Theparticle’s energy, angle, and launch frequency are determinedfrom the IEADs and fluxes calculated by HPEM and PC-MCM. A particle path is tracked until the particle hits thefeature surface. The feature surface is defined as the bound-ary between occupied and unoccupied lattice cells represent-ing the plasma and material stack. Surface kinetic processessuch as adsorption, reflection, energy loss, etch, and sputter-ing are carried out, based on probabilities, at the surfacelocation that the particle hits. Surface kinetic processes aredefined for each particle/material pair. Charging of the fea-ture is not considered.

For a pulsed plasma, the species flux to the wafer usedby the feature model is the time averaged flux over the finalpulse period. For the results discussed here, the ion and elec-tron fluxes vary in time within the pulse period while theneutral flux to the wafer is a constant within the pulse periodfor most cases. The feature model uses a flux weighted av-erage IEAD of five regional average IEADs given by

fav�E,�� =�f�E,�,t���t�dt

���t�dt, �1�

where E is the energy and � is the angle of incidence �or-thogonal to the wafer� of the ions. f�E ,� , t� is the distributionfunction, calculated in the HPEM, as a function of time. ��t�is the flux to the wafer for a particular specie as a function oftime and fav�E ,�� is the final average energy and angulardistribution function calculated for each specie �i.e., Cl2

+, Cl,Ar+, etc.� and used by the feature model.

III. EFFECT OF PULSING ON PLASMA AND ETCHCHARACTERISTICS

The cylindrically symmetric reactor used in this study isschematically shown in Fig. 1�a�. Inductive power is sup-plied through the two sets of coils, 15 and 40 cm in diameter,respectively. The power supplied through each coil set can beindependently controlled by varying the current ratio be-tween them. The coils are above a 3 cm thick alumina win-dow which is 60 cm in diameter. Process gases, Ar /Cl2 inthis case, enter the chamber from the nozzle at the top andcenter of the reactor, and are exhausted from an annularpump port at the bottom. The 30 cm diameter wafer is on a

substrate which is independently biased by a pulsed rf powersupply, 15 cm below the alumina window. The base caseconditions are 10 mTorr gas pressure, Ar /Cl2=80 /20 gasmixture at a flow rate of 100 SCCM �SCCM denotes cubiccentimeter per minute at STP�, peak source power from thecoils of 300 W at 13.56 MHz excitation frequency, inner-:outer coil current ratio of 1:1, and rf bias peak power of100 W at 13.56 MHz. The reaction mechanism for Ar /Cl2used in this investigation is discussed in Ref. 25.

Both the inductive and rf bias power supplies werepulsed using a square wave envelope with a finite ramp-up�and ramp-down� time. The pulsed power waveform used forthe base case is shown in Fig. 1�b�. A pulse excitation fre-quency of 5 kHz was used and the pulse rises �falls� linearlyto a peak value �zero� of 1% of the pulse period. The pulsedpower waveform for the chosen �ramp closely resembles theactual rf power delivery on AdvantEdge™. The rise and falltimes, �ramp, corresponding to the 5 kHz pulsing frequencyare 2 �s. The power is maintained at its peak value throughthe top-flat phase, �top-flat. We define duty cycle ��ON� as thefraction of the pulse period during which power is suppliedincluding the pulse ramp-up/-down times,

�ON� % � =�ramp + �top flat + �ramp

�ramp + �top flat + �ramp + �off-1 + �off-2� 100.

�2�

FIG. 1. �a� Schematic of the ICP reactor used to investigate impact ofsimultaneous source and bias pulsing. �b� Schematic of the pulse powerwaveform. The base case pulse frequency is 5 kHz with a pulse ramp-upand -down time of 2 �s. IEADs corresponding to the bias power pulse willbe characterized during each of the five distinct phases identified.

103305-3 Agarwal et al. J. Appl. Phys. 106, 103305 �2009�

Author complimentary copy. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

Page 4: Effect of simultaneous source and bias pulsing in ... · Effect of simultaneous source and bias pulsing in inductively coupled plasma etching Ankur Agarwal,1,a Phillip J. Stout,1

The “off-1” and “off-2” times refer to when the power ismaintained at zero. In the model, the power is reduced to0.1% of the peak �amounts to sub-1-W deposition�. The offtime is subdivided into “off-1” and “off-2” times to charac-terize the initial and late afterglow periods following thepower deposition period. The “off-1” and “off-2” times are55 �s and 45 �s long, respectively, for the base pulse fre-quency of 5 kHz and 50% duty cycle. Following this, theIEADs corresponding to each pulse region are calculated byusing a cycle average of all rf cycles within that region asinput to the PCMCM. The average IEAD, fav�E ,��, repre-sented in Eq. �1� is obtained by discrete integration over eachof the pulse regions.

Temporal dynamics of the spatially averaged electrondensity, Cl− density, and electron temperature for the basecase conditions is shown in Fig. 2 for duty cycles of 25%,50%, and 75% when the bias and source power were pulsedin full synchronization with no phase lag. Similarly the den-sities of Cl2

+, Ar+, and Cl+ are shown in Fig. 3. As the dutycycle increases, the time averaged power deposition in-creases. Consequently, more dissociation of the feedstock

gases occurs, resulting in higher plasma density at largerduty cycles. A quasi-steady state is however achieved only atlarger duty cycles due to the slow pace of evolution of nega-tive ions. During the afterglow period, electrons are rapidlylost due to the highly attaching nature of the gas mixture viadissociative attachment to Cl2.16 This low electron densityconsequently produces a more highly peaked electron tem-perature at the leading edge of the power pulse, as shown inFig. 2�c�, as the source power is deposited into fewer elec-trons from the previous cycle. Following this initial peak,electron temperature achieves a steady state value of 3.5 eV.This high electron temperature leads to a decrease in the rateof dissociative attachment and increases the rate of detach-ment of Cl− producing a net decrease in Cl− density duringthe top-flat phase of the pulse, as shown in Fig. 2�b�. How-ever, the Cl− density does not change significantly during thepulse. During the pulse-off phase, however, the electron tem-perature rapidly decreases while increasing the rate of disso-ciative attachment leading to an increase in Cl− density. Theincrease in Cl− density is, on a reactor averaged basis, about25%–40% for the duty cycles investigated at a pulse fre-quency of 5 kHz. In the bulk plasma, however, the Cl− den-

FIG. 2. �Color online� Spatially averaged plasma properties as a function oftime for the base case conditions �Ar /Cl2=80 /20, 10 mTorr, 100 SCCM,300 W peak ICP power, 100 W peak bias power, 5 kHz pulse frequency�and duty cycles of 25%–75%: �a� e− density, �b� Cl− density, and �c� electrontemperature.

FIG. 3. �Color online� Spatially averaged plasma properties as a function oftime for the base case conditions �Ar /Cl2=80 /20, 10 mTorr, 100 SCCM,300 W peak ICP power, 100 W peak bias power, 5 kHz pulse frequency�and duty cycles of 25%–75%: �a� Cl2

+, �b� Ar+, and �c� Cl+ densities.

103305-4 Agarwal et al. J. Appl. Phys. 106, 103305 �2009�

Author complimentary copy. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

Page 5: Effect of simultaneous source and bias pulsing in ... · Effect of simultaneous source and bias pulsing in inductively coupled plasma etching Ankur Agarwal,1,a Phillip J. Stout,1

sity during the afterglow is twice as high as that in the ac-tiveglow. Note that the rate of decay of electron temperatureis nearly the same for all duty cycles as the decay rate pri-marily depends on collision frequency which scales withpressure. The density of Cl− decreases during the late after-glow period at lower duty cycles due to a combination of twoeffects. As the pulse-off time is large at lower duty cycles,the ambipolar diffusion losses of Cl− overcome the produc-tion via dissociative attachment. Further, the production ofCl− through dissociative attachment itself decreases due todiminished electron density.

Despite the low Cl2 fraction in the gas mixture, the ma-jor positive ion is Cl2

+ while the densities of Ar+ and Cl+ arean order of magnitude lower. This is due to the high ioniza-tion potential of Ar �16 eV� and Cl �13 eV� compared to thatof Cl2 �11.5 eV� leading to not only higher ionization ratesfor Cl2 but also charge-exchange reactions with Ar+ and Cl+.

The spatial distribution of electron density during thepulse for the base case conditions is shown in Fig. 4 with50% duty cycle. As the source power is turned on, electrondensity begins to increase with the peak being under �andbetween� the coils where the power deposition is maximum.As the bias is also turned on simultaneously, the low initialelectron density following the previous cycle leads to theformation of a sheath �1.77 �s� which is largest at the centerand smallest at the edge of the wafer reflecting the locationof peak in electron density. As the electron density increases,the thickness of the sheath decreases. As quasisteady state isachieved, the electron density profile becomes diffusiondominated and the peak in electron density shifts toward thecenter of the reactor �17.7 �s�. In contrast, the positive ions�not shown� are confined near the periphery due to theirlower mobility and diffusion coefficient. As the power isturned off �148.67 �s�, electrons are quickly lost due to am-bipolar losses and dissociative attachment.

IEADs for the major ion, Cl2+, averaged over each dis-

tinct phase of the pulse are shown in Fig. 5 for base caseconditions with duty cycles of 25%–75%. To aid interpreta-tion of these results, the rf bias power, rf bias voltage, andthe self-generated dc bias are shown in Fig. 6 for base caseconditions with duty cycles of 25%–75%. All phases are ref-erenced to the beginning of the bias-on period. During theramp-up phase, ions arrive at the sheath edge at moderateenergies having wide angular distributions. As the biaspower is ramped up to its peak value, the bias voltage in-creases rapidly and, as discussed earlier, the sheath at thewafer is quite thick due to the low electron density. As theions diffuse into the expanding sheath, they do not experi-ence the full sheath voltage, and so arrive with low to mod-erate energies and wider angular distributions. The low initialelectron density also results in overshooting of the bias volt-age, as shown in Fig. 6, to achieve the steady state biaspower deposition. The bias voltages match as those in ex-periments including the initial overshoot and the rapid set-tling of the same. This overshoot in bias voltage contributesto a high energy tail during the top-flat phase of the pulse.The flux at these energies is however low. As the steady statepeak power is deposited, the plasma density increases andthe ion energies achieve a nominal peak value of 100 eV.

FIG. 4. �Color online� Electron density for the base case conditions�Ar /Cl2=80 /20, 10 mTorr, 100 SCCM, 300 W peak ICP power, 100 Wpeak bias power, 5 kHz pulse frequency� and duty cycle of 50% at differenttimes during the pulse. Results are shown for �a� 1.77 �s and �b� 4.42 �sduring the ramp-up phase; �c� 8.85 �s, �d� 17.7 �s, and �e� 30.97 �s duringthe top-flat phase; �f� 100 �s when the power is turned off; �g� 148.67 �sand �h� 173.45 �s during the “off-1” phase; and �i� 194.69 �s and�j� 199.12 �s during the “off-2” phase. �Densities are plotted usinga log scale over 2 decades. The color bar shows the range of thecontours relative to the maximum value.� �enhanced online�.�URL:http://dx.doi.org/10.1063/1.3262616.1�

103305-5 Agarwal et al. J. Appl. Phys. 106, 103305 �2009�

Author complimentary copy. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

Page 6: Effect of simultaneous source and bias pulsing in ... · Effect of simultaneous source and bias pulsing in inductively coupled plasma etching Ankur Agarwal,1,a Phillip J. Stout,1

Despite the fact that the power deposition requirements dur-ing the ramp-down phase are similar to those during theramp-up phase, the ion energies are, on an average, higherthan those during the ramp-up phase. This is counterintuitivesince the energies should be lower as the ion densities arehigher and the power is being ramped down. Ion energies,however, remain high during the ramp-down phase due tothe self-generated dc bias on the blocking capacitor, whichtakes longer than the ramp-down phase to discharge. Duringthe pulse-off phase, since no power deposition occurs, ionenergies arrive at the wafer surface with only very low ener-gies and wide angular distributions.

In general, as the duty cycle of the pulse increases, ionenergies, on an average, shift to lower values during eachphase of the pulse: a direct consequence of lower bias volt-ages �and less sharper changes when ramping up� required to

deposit the same power owing to higher remnant plasmadensity at the end of each pulse cycle. The overshoot in biasvoltage also decreases as the duty cycle increases, leading todiminished high energy tail. The lower self-generated dc biasat higher duty cycles not only leads to lower ion energiesduring the ramp-down phase but also diminishes the highenergy tail component during the “off-1” phase.

The fluxes of positive ions and electrons to the wafersurface for base conditions are shown in Fig. 7 for dutycycles of 25%–75%. The largest flux of ions is due to Cl2

+

because of its higher density. Fluxes of Cl+ and Ar+ are anorder of magnitude lower. During the top-flat phase of thepulse, the plasma is electropositive, resulting in nearly equalfluxes of electrons and positive ions to the wafer. Note that,during the early afterglow period, the flux of electrons plum-mets to zero while the flux of positive ions remains continu-ous, albeit decreased. This is due to the fact that when thepulse-off phase begins, the inductively coupled source andthe rf bias are both turned off, at which point the self-generated dc bias is the only nonzero potential which prohib-its the electrons from approaching the wafer. The electron

FIG. 5. �Color online� IEADs, averaged over the wafer, for the base caseconditions �Ar /Cl2=80 /20, 10 mTorr, 100 SCCM, 300 W peak ICP power,100 W peak bias power, 5 kHz pulse frequency� during each distinct phaseof the pulse cycle as a function of duty cycle: �a� 25%, �b� 50%, and �c�75%. IEADs are plotted using a log scale over 2 decades.

FIG. 6. �Color online� Temporal dynamics of the rf bias voltage and self-generated dc bias to deposit the peak bias power �100 W� for the base caseconditions �Ar /Cl2=80 /20, 10 mTorr, 100 SCCM, 300 W peak ICP power,5 kHz pulse frequency� for different duty cycles: �a� 25%, �b� 50%, and �c�75%.

103305-6 Agarwal et al. J. Appl. Phys. 106, 103305 �2009�

Author complimentary copy. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

Page 7: Effect of simultaneous source and bias pulsing in ... · Effect of simultaneous source and bias pulsing in inductively coupled plasma etching Ankur Agarwal,1,a Phillip J. Stout,1

flux is restored when the blocking capacitor discharges com-pletely. This fraction of the pulse period for which the elec-tron flux remains zero is a function of the duty cycle as thehigher plasma density at higher duty cycles allows for lowerself-generated dc bias and bias voltage. The flux of Cl− isnegligible �about five orders of magnitude lower� as they areconfined by the positive plasma potential in the bulk duringthe active glow. In the afterglow, however, even though theplasma potential decreases to zero, the flux is fairly low. Thisis due to the strong spatial confinement of Cl− species duringthe active glow.

The ion fluxes and IEADs �Fig. 5� discussed above areused to investigate the impact on feature profile evolution.The initial feature geometry used in this investigation isshown in Fig. 8. The material stack is an amorphous carbonhard mask on top of p-Si �polysilicon�. The hard mask has aninitial sidewall angle of 1°. The features are etched for afixed processing time. Calculated etch profiles are shown inFig. 8 for duty cycles of 25%–100%. The IEADs for thesefeatures are shown in Fig. 5. The etch profile correspondingto a duty cycle of 100% is shown for reference and refers to

the cw mode of operation. The feature etch depth rate in-creases as the duty cycle increases. As the duty cycle in-creases, the time averaged power deposition increases sincethe plasma is on for longer periods of time. This increaseddeposited power leads to an increase in the time averagedfluxes of electrons, ions, and Cl radical to the wafer. Thefeature etch depth rate increase is due to the increase in fluxof the reactive species to the feature as the duty cycle in-creases. The higher fluxes at higher duty cycles offset anyincrease in the reactive ion etch yields from the high ionenergy tail present in the low duty cycle plasmas.

In the results discussed above, a 1% pulse ramp-up and-down time was used, corresponding to a time of 2 �s for a5 kHz pulse frequency. The impact of pulse ramp time onIEADs for a pulse with 50% duty cycle and 5 kHz frequencyis shown in Fig. 9 for pulse ramp-up/-down times of 4, 10,and 20 �s. The corresponding rf bias power, rf, and self-generated dc bias voltages are shown in Fig. 10. As the pulseramp-up time increases, the applied bias voltage changesalong this phase leading to the top-flat phase are less sharp,as shown in Fig. 10. As a result, the spike in rf voltage is lesspronounced for larger pulse ramp-up times and the ion ener-gies shift to lower energies. The distribution of ion energiesduring the ramp-down phase continues to differ from thatduring the ramp-up phase but the distinction becomes non-existent for pulse ramp times of �10 �s. Ion energies de-crease slightly with increasing pulse ramp time as the dcblocking capacitor is able to discharge more. Pulse ramptimes do not impact the ion energies during the pulse-offphases.

The impact of the pulse ramp times on the fluxes ofpositive ions and electrons to the wafer surface is shown inFig. 11. The peak fluxes of both electrons and positive ionsare invariant of the ramp time. However, as the power isramped up to its peak power over a longer fraction of time,the fluxes take longer to reach their peak values. Further, thefraction of the pulse period over which the flux of electrons

FIG. 7. �Color online� Electron and ion fluxes to the wafer as a function oftime for the base case conditions �Ar /Cl2=80 /20, 10 mTorr, 100 SCCM,300 W peak ICP power, 100 W peak bias power, 5 kHz pulse frequency� fordifferent duty cycles: �a� 25%, �b� 50%, and �c� 75%. Cl2

+ is the major iondue to higher rates of charge exchange from Ar+.

FIG. 8. �Color online� Final predicted etch profiles for the base case condi-tions �Ar /Cl2=80 /20, 10 mTorr, 100 SCCM, 300 W peak ICP power,100 W peak bias power, 5 kHz pulse frequency� and duty cycles of 25%–100%. The features were etched for a fixed processing time.

103305-7 Agarwal et al. J. Appl. Phys. 106, 103305 �2009�

Author complimentary copy. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

Page 8: Effect of simultaneous source and bias pulsing in ... · Effect of simultaneous source and bias pulsing in inductively coupled plasma etching Ankur Agarwal,1,a Phillip J. Stout,1

to the wafer decreases to zero shrinks as the pulse ramp timeincreases. Since the pulse is ramped down slowly, this alsoallows for the discharge of the self-generated dc bias by theblocking capacitor simultaneously �see Fig. 10�. This main-tains the flux continuity of the electrons to the wafer.

The predicted etch profiles as the pulse ramp time isvaried are shown in Fig. 12 for a 5 kHz pulse frequency with50% duty cycle and pulse ramp times of 2–20 �s. The etchdepth decreases as the pulse ramp time increases. Althoughthe peak ion energies and fluxes differ only marginally, as thepulse ramp time increases, the time averaged ion energiesand fluxes both decrease. This decrease is due to the top-flatregion of the pulse �when the bias power is at its peak� oc-cupying a smaller fraction of the pulse period for a givenduty cycle. The decrease in reactive species fluxes leads tolower etch depth rate at higher pulse ramp times.

As the inductive source and rf bias pulsing are controlledby independent sets of hardware, it is possible to operatethem so as to induce a phase lag between the source and thebias power pulses. This allows for plasma processing in dif-ferent combinations of inductive and capacitive modes. Forexample, the bias voltage applied to achieve the requiredbias power and the corresponding self-generated dc bias isshown for a pulse excited at 5 kHz frequency and 50% dutycycle in Fig. 13 with phase lags of 90°, 180°, and 270°. Notethat the pulse was ramped to its peak value at 1% of thepulse period �2 �s�. The periods of the pulse are referencedto the inductive source power pulse in all cases, that is, thepeak source power of 300 W is deposited during the first halfof the pulse period. The bias pulse is delayed by the specifiedphase lag to achieve varying combinations of inductive andcapacitive operating modes. For example, a phase lag of180° corresponds to the alternation between exclusively in-ductive and exclusively capacitive modes. The peak rf biasvoltage required to deposit the peak bias power increases asthe phase lag between the source and bias power pulses in-creases. As the phase lag increases, the plasma density di-

FIG. 9. �Color online� IEADs, averaged over the wafer, for the base caseconditions �Ar /Cl2=80 /20, 10 mTorr, 100 SCCM, 300 W peak ICP power,100 W peak bias power, 5 kHz pulse frequency� during each distinct phaseof the pulse cycle as a function of the pulse ramp time for a duty cycle of50%: �a� 4 �s, �b� 10 �s, and �c� 20 �s. These figures use a log scaleplotted over 2 decades.

FIG. 10. �Color online� Time variation of the rf bias voltage and self-generated dc bias to deposit the peak bias power �100 W� for the base caseconditions �Ar /Cl2=80 /20, 10 mTorr, 100 SCCM, 300 W peak ICP power,5 kHz pulse frequency� as a function of the pulse ramp time for a duty cycleof 50%: �a� 4 �s, �b� 10 �s, and �c� 20 �s.

103305-8 Agarwal et al. J. Appl. Phys. 106, 103305 �2009�

Author complimentary copy. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

Page 9: Effect of simultaneous source and bias pulsing in ... · Effect of simultaneous source and bias pulsing in inductively coupled plasma etching Ankur Agarwal,1,a Phillip J. Stout,1

minishes to a greater extent which consequently requires ahigher bias voltage to deposit the same power. Note that theinitial fraction of the bias power pulse corresponds to thecapacitive mode of operation. As the bias voltage increases,the self-generated dc bias also becomes more negative.When the source power deposition begins for phase laggreater than 180°, the bias voltage �and the self-generated dcbias� decreases as the plasma density increases.

Although the peak ion density is primarily governed bythe inductively deposited power and the time averaged totalpower deposition in the bulk remains a constant, the synergyof the two powers when operated with a phase lag has sig-nificant impact on the IEADs. The IEADs during a bias pulseperiod for base case conditions are shown in Fig. 14 for thedifferent phase lags. All phases are referenced to the begin-ning of the bias-on period. The IEADs are complex withpeaks at multiple energies depending on the bias pulse phaseand the corresponding plasma density. During the top-flatphase of the bias pulse, the ion energies are, in general,higher compared to those when there is no phase lag �seeFig. 5�b��. For phase lags of 180° and 270°, this is a direct

FIG. 11. �Color online� Electron and ion fluxes to the wafer as a function oftime for the base case conditions �Ar /Cl2=80 /20, 10 mTorr, 100 SCCM,300 W peak ICP power, 100 W peak bias power, 5 kHz pulse frequency� ata duty cycle of 50% and different pulse ramp times: �a� 4 �s, �b� 10 �s, and�c� 20 �s.

FIG. 12. �Color online� Final predicted etch profiles for the base case con-ditions �Ar /Cl2=80 /20, 10 mTorr, 100 SCCM, 300 W peak ICP power,100 W peak bias power, 5 kHz pulse frequency� at a duty cycle of 50% andpulse ramp times of 2–20 �s.

FIG. 13. �Color online� Time variation of the rf bias voltage and self-generated dc bias for the base case conditions �Ar /Cl2=80 /20, 10 mTorr,100 SCCM, 300 W peak ICP power, 100 W peak bias power, 5 kHz pulsefrequency� at a duty cycle of 50% as a function of the source and bias powerpulse phase lags: �a� 90°, �b� 180°, and �c� 270°.

103305-9 Agarwal et al. J. Appl. Phys. 106, 103305 �2009�

Author complimentary copy. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

Page 10: Effect of simultaneous source and bias pulsing in ... · Effect of simultaneous source and bias pulsing in inductively coupled plasma etching Ankur Agarwal,1,a Phillip J. Stout,1

consequence of the bias power deposition beginning whenthe plasma density is low �during the late afterglow of thesource power pulse�. For a phase lag of 90°, however, thehigher ion energies correspond to the early afterglow of thesource pulse when the plasma density begins to diminish�and the bias voltage increases�. The fingerprint of the in-phase pulsing �see Fig. 5�b�� is evident for the 90° phase lagbetween the source and bias power pulses. As the high biasvoltages, prevalent during the top-flat phase, are rampeddown, the ion energies are moderately high during the ramp-down phase. The IEADs during the late afterglow �“off-2”�phase generally correspond to those when no bias power isdeposited, and so the ion energies are low with wide angulardistributions. The early afterglow period however differs inthat the plasma density is different. For example, the early

afterglow period corresponding to a phase lag of 90° coin-cides with the late afterglow �for the source pulse� at whichpoint the ion density is at its lowest while the self-generateddc bias is sufficiently large leading to significantly high ionenergies. The peak ion energy shifts to lower energies for aphase lag of 180° with a fingerprint of high energy tail as theblocking capacitor discharges.

The fluxes of the positive ions and electrons are shownin Fig. 15 for phase lags of 90°–270°. The ion fluxes dem-onstrate a marginal increase when the bias pulse is turned onbut are, in general, governed primarily by the source powerand peak during the source pulse active glow. The spike inion fluxes is higher �relative to the peak� as the phase lagincreases between the source and bias power pulses. How-ever, the ion fluxes also diminish rapidly due to the depletionof ion density during the afterglow period of the sourcepulse. The electron fluxes to the wafer tend to be diminishedfor a longer fraction of the pulse period at lower phase lags,owing to the large self-generated dc bias that develops due tothe bias power-on phase �or capacitive mode as the sourcepower is off�. The electron fluxes are restored only when,

FIG. 14. �Color online� IEADs, averaged over the wafer, for the base caseconditions �Ar /Cl2=80 /20, 10 mTorr, 100 SCCM, 300 W peak ICP power,100 W peak bias power, 5 kHz pulse frequency� at a duty cycle of 50%during each distinct phase of the pulse cycle as a function of phase lags: �a�90°, �b� 180°, and �c� 270°. All phases are referenced to the beginning of thebias-on period. IEADs are plotted using a log scale over 2 decades.

FIG. 15. �Color online� Electron and ion fluxes to the wafer as a function oftime for the base case conditions �Ar /Cl2=80 /20, 10 mTorr, 100 SCCM,300 W peak ICP power, 100 W peak bias power, 5 kHz pulse frequency� ata duty cycle of 50% for different phase lags between the source and biaspower pulses: �a� 90°, �b� 180°, and �c� 270°.

103305-10 Agarwal et al. J. Appl. Phys. 106, 103305 �2009�

Author complimentary copy. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

Page 11: Effect of simultaneous source and bias pulsing in ... · Effect of simultaneous source and bias pulsing in inductively coupled plasma etching Ankur Agarwal,1,a Phillip J. Stout,1

during the source power-on phase, the ion density is suffi-ciently high that the blocking capacitor discharges.

The impact of the phase lag between the source and rfbias on the feature profile is shown in Fig. 16. The etch depthrate decreases monotonically with phase lag for the plasmaconditions investigated. A phase lag of 90° yielded the high-est etch depth rate. This etch depth variation is due to theincreased ion energy when the source afterglow and biasactive glow regions overlap during the pulse. When sourceand bias are pulsed out of phase, the effective ion energyboost is larger for a phase lag of 90° and decreases for thehigher phase lags of 180° and 270°. The 180° and 270° phaselags have higher ion energies in the source afterglow/biasactive glow region but the ion fluxes are lower and are thusweighted less in the final IEAD. So although these phase lagshave higher energy ions, the ion flux is smaller. The timeaveraged ion fluxes to the wafer increase slightly with phaselag except for 270° but differ only marginally ��4% �,which have little impact on the etch depth rate compared tothe ion energy. Pulsing the source and bias with moderatephase lag can be an important knob to tune etch rates despitethe lower time averaged power deposition compared to con-tinuous mode.

IV. CONCLUDING REMARKS

The influence of simultaneous source and bias pulsingon plasma characteristics and IEADs has been discussedbased on results from a computational investigation using areactor scale model coupled to a feature profile model. Wefound that the bulk plasma quantities are sensitive functionsof the source �ICP� power deposition while the bias pulseshape and the overlap of source and bias powers stronglyimpact the IEADs of ions incident to the wafer. A higherpeak electron temperature at the leading edge of the

power-on pulse is obtained following each pulse cycle atlower duty cycles due to lower plasma densities. The IEADshave a high energy tail component owing to higher bias volt-ages to deposit the same power during the initial pulse periodbut are, in general, dominantly populated by ions with ener-gies near the bias voltage. Etching rates were found to behigher at higher duty cycles but less than those of an equiva-lent continuous operation owing to lower time averagedpower deposition. Pulsing the source and bias power pulseswith some phase lag can provide for tuning of etch rates. Forthe plasma conditions investigated, etch rates decreased withincreasing phase lag. Etch rates vary with phase lag owing toa combination of source-off/bias-on period during the pulsewhich increases the ion flux and ion energies incident to thewafer. Pulsing the source and bias out of phase may thereforeallow for somewhat independent control of the ion fluxes andthe IEADs.

ACKNOWLEDGMENTS

The authors would like to thank Valentin Todorow andKartik Ramaswamy for useful discussions.

1International Technology Roadmap for Semiconductors—SemiconductorIndustry Association �http://www.itrs.net/Links/2007ITRS/Home2007.htm� �2007�.

2M. Armacost, P. D. Hoh, R. Wise, W. Yan, J. J. Brown, J. H. Keller, G. A.Kaplita, S. D. Halle, K. P. Mller, M. D. Naeem, S. Srinivasan, H. Y. Ng,M. Gutsche, A. Gutmann, and B. Spuler, IBM J. Res. Dev. 43, 39 �1999�.

3S. J. Fonash, IBM J. Res. Dev. 43, 103 �1999�.4P. D. Agnello, IBM J. Res. Dev. 46, 317 �2002�.5K. Maeshige, G. Washio, T. Yagisawa, and T. Makabe, J. Appl. Phys. 91,9494 �2002�.

6K. Tokashiki, H. Cho, S. Banna, J.-Y. Lee, K. Shin, V. Todorow, W.-S.Kim, K.-H. Bai, S. Joo, J.-D. Choe, K. Ramaswamy, A. Agarwal, S. Rauf,K. Collins, S. Choi, H. Cho, H. Kim, C. Lee, D. Lymberopoulos, J. Yoon,W. Han, and J.-T. Moon, Jpn. J. Appl. Phys., Part 1 48, 08HD01 �2009�.

7S. Banna, A. Agarwal, K. Tokashiki, H. Cho, S. Rauf, V. Todorow, K.Ramaswamy, K. Collins, P. Stout, J.-Y. Lee, J. Yoon, K. Shin, S. Choi, H.Cho, H.-J. Kim, C. Lee, and D. Lymberopoulos, IEEE Trans. Plasma Sci.37, 1730 �2009�.

8T. Mukai, N. Ohshima, H. Hada, and S. Samukawa, J. Vac. Sci. Technol.A 25, 432 �2007�.

9T. Mukai, H. Hada, S. Tahara, H. Yoda, and S. Samukawa, Jpn. J. Appl.Phys., Part 1 45, 5542 �2006�.

10S. K. Kanakasabapathy, L. J. Overzet, V. Midha, and D. Economou, Appl.Phys. Lett. 78, 22 �2001�.

11L. J. Overzet, B. A. Smith, J. Kebler, and S. K. Kanakasabapathy, Jpn. J.Appl. Phys., Part 1 36, 2443 �1997�.

12T. Mieno and S. Samukawa, Plasma Sources Sci. Technol. 6, 398 �1997�.13S. Samukawa and T. Meino, Plasma Sources Sci. Technol. 5, 132 �1996�.14S. Samukawa and H. Ohtake, J. Vac. Sci. Technol. A 14, 3049 �1996�.15M. V. Malyshev and V. M. Donnelly, Plasma Sources Sci. Technol. 9, 353

�2000�.16M. V. Malyshev, V. M. Donnelly, J. I. Colonell, and S. Samukawa, J.

Appl. Phys. 86, 4813 �1999�.17M. Meyyappan, J. Vac. Sci. Technol. A 14, 2122 �1996�.18S. Ashida, C. Lee, and M. A. Lieberman, J. Vac. Sci. Technol. A 13, 2498

�1995�.19S. Ashida and M. A. Lieberman, Jpn. J. Appl. Phys., Part 1 36, 854 �1997�.20M. A. Lieberman and S. Ashida, Plasma Sources Sci. Technol. 5, 145

�1996�.21D. P. Lymberopoulos, V. I. Kolobov, and D. J. Economou, J. Vac. Sci.

Technol. A 16, 564 �1998�.22V. Midha and D. J. Economou, Plasma Sources Sci. Technol. 9, 256

�2000�.23B. Ramamurthi and D. J. Economou, J. Vac. Sci. Technol. A 20, 467

FIG. 16. �Color online� Final predicted etch profiles for the base case con-ditions �Ar /Cl2=80 /20, 10 mTorr, 100 SCCM, 300 W peak ICP power,100 W peak bias power, 5 kHz pulse frequency� at a duty cycle of 50% andphase lags of 90°–270°. The features were etched for a fixed processingtime.

103305-11 Agarwal et al. J. Appl. Phys. 106, 103305 �2009�

Author complimentary copy. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

Page 12: Effect of simultaneous source and bias pulsing in ... · Effect of simultaneous source and bias pulsing in inductively coupled plasma etching Ankur Agarwal,1,a Phillip J. Stout,1

�2002�.24P. Subramonium and M. J. Kushner, J. Appl. Phys. 96, 82 �2004�.25P. Subramonium and M. J. Kushner, J. Vac. Sci. Technol. A 20, 325

�2002�.

26P. J. Stout, D. Zhang, and P. L. G. Ventzek, J. Vac. Sci. Technol. A 21, 596�2003�.

27P. J. Stout, S. Rauf, A. Nagy, and P. L. G. Ventzek, J. Vac. Sci. Technol. B24, 1344 �2006�.

103305-12 Agarwal et al. J. Appl. Phys. 106, 103305 �2009�

Author complimentary copy. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp