digitales 2

download digitales 2

of 14

description

problemas para resolucion, maquina mealy moore , codigo vhdl , circuitos asincronicos , mapas de karnaugh

Transcript of digitales 2

  • ESCUELA SUPERIOR POLITECNICA DEL LITORAL FACULTAD DE INGENIERIA EN ELECTRICIDAD Y COMPUTACIN

    SISTEMAS DIGITALES II

    DEBER PARA PRIMERA EVALUACIN II TERMINO 2014-2015

    PROBLEMA # 1 Dada el siguiente circuito de una MSS.

    Deber # 1 de Sistemas Digitales II Pgina 1 de 14

  • Presente:

    1. Diagrama ASM de la maquina secuencial sincrnica PROBLEMA # 2 Disee una MSS modelo MOORE que funcione como interfase en el mostrador de un agente migratorio en un puerto de entrada al pas. Inicialmente el sistema espera hasta que se active la seal Persona que indica que hay un viajero presente en el mostrador. Adicionalmente si el pasajero es nacional se activa la entrada Ciudadano, si no se activa significa que el pasajero es extranjero. Si el pasajero es nacional se debe activar la salida Pasaporte para solicitar el respectivo documento. El sistema sigue activando esta salida hasta que se reciba la seal Sensor que significa que el pasajero ha depositado su pasaporte. Ahora el sistema queda esperando por una de las entradas Confirmar o Negar (asuma que las dos no se pueden activar al mismo tiempo). Si se activa Confirmar, el sistema activa la salida Admitido que debe durar un perodo de reloj y queda a la espera de la siguiente persona. Si se activa Negar, el sistema activa la salida Denegado durante un perodo de reloj y queda a la espera de la siguiente persona. Si el pasajero es extranjero, primero se debe solicitar el pasaporte (de la misma forma que el pasajero nacional). Si el pasaporte es negado, se debe activar la salida Denegado (igual que antes) y regresar a preguntar por otra persona. Si el pasaporte es aceptado el sistema ahora debe activar la salida Permiso con lo que se est solicitando el permiso de entrada, el sistema sigue activando esta salida hasta que se reciba la seal Sensor, ahora queda esperando por Confirmar o Negar. Si se activa Confirmar el sistema activa la salida Admitido (igual que antes) y si se activa negar el sistema debe Denegar la entrada igual que en las condiciones anteriores. Nota: despus de determinar si el pasajero es nacional o extranjero, no se debe tomar en cuenta el valor de las entradas Persona y Ciudadano. Graficar: Diagrama de Estados.

    Deber # 1 de Sistemas Digitales II Pgina 2 de 14

  • Formato: Persona,Ciudadano,Sensor,Confirmar,Negar / Pasporte,Permiso,Admitido,Denegado

    PROBLEMA # 3 Dado el siguiente Diagrama de Estados de una MSS: Formato: Start X1 X2 / Crr Abr Alr

    1. Describa el Diagrama de Estados en VHDL utilizando

    a. Para la descripcin de las transiciones de la MSS, la declaracin process / case when. Asuma que la entrada Resetn es asincrnica.

    Resetn0/000

    1/000

    1/100

    000/10000/100

    /110/100 01/100

    10/100

    00/010 00/10010/010

    00/10010/010 01/100

    01/010 10/100

    00/010 01/10000/100

    00/010 00/010

    01/010 10/01001/010

    a

    b

    c

    d Alarma

    e

    f

    g h

    i

    j

    Deber # 1 de Sistemas Digitales II Pgina 3 de 14

  • b. Para describir las salidas Crr, Abr y Alr 1. La declaracin process / case when 2. Las declaraciones concurrentes condicionales.

    2. Grafique los Diagramas de Tiempo de las seales de salida Crr, Abr y Alr asumiendo los valores de las seales de entradas dados. Indique claramente el tiempo de Clock que corresponde a cada estado (y).

    Deber # 1 de Sistemas Digitales II Pgina 4 de 14

  • PROBLEMA # 4 Dado el siguiente Diagrama de Estados de una MSS: Formato: On_Off Modo / V1 V0 Cmp Tmp

    3. Describa el Diagrama de Estados en VHDL utilizando

    a. Para la descripcin de las transiciones de la MSS de un estado al otro la declaracin process / case when. Asume que la entrada Resetn es asincrnica.

    b. Para describir las salidas V1, V0, Cmp y Tmp

    3. La declaracin process / case when

    Resetn

    0/0000

    1/00000/0000

    1/0000

    1/1010 10/1010 0/0000

    00/1010

    1/1010

    0/0000 1/1010

    0/1010

    1/0111 00/011110/0111

    0/0000 1/0111

    1/0111 1/1100

    0/0111

    00/1100

    10/1100 1/1100 1/1100 0/1000

    a

    c

    b

    e

    d

    i

    j

    f

    g

    k

    h

    Deber # 1 de Sistemas Digitales II Pgina 5 de 14

  • 4. Las declaraciones concurrentes condicionales.

    4. Grafique los Diagramas de Tiempo de las seales de salida V1, V0, Cmp y Tmp asumiendo los valores de las seales de entradas dados. Indique claramente el tiempo de Clock que corresponde a cada estado (y).

    Deber # 1 de Sistemas Digitales II Pgina 6 de 14

  • PROBLEMA # 5 Dado la siguiente descripcin en VHDL de una MSS:

    1. Grafique el Diagrama ASM que corresponde a este cdigo. 2. Grafique el Diagrama de Tiempo adjunto para las condiciones de entrada dadas.

    Indique claramente los nombres de cada estado (y) y tiempo de su duracin.

    Deber # 1 de Sistemas Digitales II Pgina 7 de 14

  • Deber # 1 de Sistemas Digitales II Pgina 8 de 14

  • PROBLEMA # 6 Dado la siguiente descripcin en VHDL de una MSS:

    1. Grafique el Diagrama ASM que corresponde a este cdigo. 2. Grafique el Diagrama de Tiempo adjunto para las condiciones de entrada dadas.

    Indique claramente los nombres de cada estado (y) y tiempo de su duracin.

    library ieee; use ieee.std_logic_1164.all; entity problema2 is port(Resetn,Clock,Ingresar,Vender,Cancelar : in std_logic; Palco,Tribuna,Pagot,Tagot,LP,LT : in std_logic; DPC,DTC,APC,ATC,PDS,TDS : in std_logic; Listo,DP,DT,EP,ET,AP,AT : out std_logic); end problema2; architecture comportamiento of problema2 is type estado is (Ta,Tb,Tc,Td,Te,Tf,Tg,Th,Ti,Tj,Tk); signal y : estado; begin MSS_transiciones: process(Resetn,Clock) begin if Resetn ='0' then y

  • MSS_salidas: process(y,Ingresar,Vender,DPC,DTC,LP,LT) Begin Listo
  • PROBLEMA # 7 Disee una MSS modelo MOORE que controla una Ensambladora automtica de mesas industriales. Inicialmente la mquina espera que se presione y suelte el botn Inicio, con lo cual queda lista para arrancar. Si desde este estado de activacin se vuelve a presionar y soltar el botn Inicio, la mquina regresar al estado inicial. Desde el estado de activacin, la mquina espera a que se active la seal Orden y de ser as al mismo tiempo pregunta por el valor de Tamao: Si Tamao = 0 se trata de una mesa pequea, si Tamao = 1 se trata de una mesa grande. Si Orden no est activa debe permanecer en el estado de activacin.

    Si la mesa es pequea el sistema activa la seal Patas, la misma que debe seguir activa hasta que se reciba la seal Pconfirm que indica que las patas se han terminado de instalar, Ahora el sistema debe activar la salida Tablero que debe seguir activa hasta que se reciba la seal Tconfirm que indica que una pieza de tablero ha sido instalada, luego de esto el sistema debe activar por un perodo de reloj la seal Apretar que ajustar todas las uniones.

    Si la mesa es grande se instalarn dos mdulos de patas y tableros, para esto el sistema debe activar las seales Patas y Tablero igual que antes (esperando su respectiva confirmacin) pero ahora cada una debe ser activada y desactivada dos veces en su orden (primero dos mdulos de patas y luego dos mdulos de tableros). Luego de esto el sistema deber activar por dos perodos consecutivos de reloj la seal Apretar.

    Durante las dos ltimas acciones no es necesario preguntar por las seales Orden y Tamao. Finalmente y sin importar el tamao de la mesa, el sistema queda en espera de la seal Despacho. Cuando Despacho sea verdadera, el sistema al mismo tiempo pregunta por la seal Ruta. Si Ruta = 1 el sistema activa la seal Plstico que debe permanecer activa hasta que se active la seal de confirmacin Plascon. Si Ruta = 0 el sistema activa la seal Encajonar que debe continuar activada hasta que se reciba la seal Econfirm. Independientemente de la ruta escogida, el sistema regresa al estado de activacin. Presente nicamente el Diagrama ASM de la MSS

    Deber # 1 de Sistemas Digitales II Pgina 11 de 14

  • PROBLEMA # 8 Disee una MSS modelo MOORE que funcione como controladora de una embotelladora de agua. Inicialmente el sistema espera a que se active la seal Inicio, luego de lo cual pasa a un estado de activacin y espera a que la seal Botella se active indicando la presencia de una botella vaca. Si hay una botella se activa la salida IBV que pone a funcionar al inspector de botellas vacas, esta seal se sigue activando hasta que se reciba IBV_ready que significa que el detector termino su anlisis. Posteriormente se evala la seal Botella_OK, si es falsa, significa que la botella no puede ser procesada por tanto se debe activar la salida Falla y debe regresar al estado de activacin a esperar otra botella. Si Botella_OK es verdadera, la botella si est apta para ser llenada y debe continuar el proceso. En el siguiente paso del proceso, se debe activar la salida Llenar que debe permanecer activa hasta que reciba la seal Nivel_OK que le indica que el agua lleg al nivel deseado. Luego se debe activar la salida Tapa exactamente durante dos perodos de reloj. Posteriormente se debe activar la salida IBLL que activa al inspector de botellas llenas, esta seal continuar activa hasta que se reciba la seal IBLL_ready que significa que el inspector termin su anlisis. A continuacin si la seal Botella_OK es falsa significa que la botella no se lleno lo suficiente, por tanto se debe activar la seal Vaciar y luego regresar al estado donde se active nuevamente la salida Llenar. Si Botella_OK es verdadera significa que la botella est lista, por tanto ahora se debe activar la salida Despachar que se mantiene activa hasta que se reciba la seal Desp_ready. Posteriormente se debe evaluar la entrada Continuar, si es verdadera el sistema debe regresar al estado de activacin a pedir otra botella, caso contrario debe regresar al estado inicial de desactivacin. Presentar nicamente el Diagrama ASM de la MSS.

    Deber # 1 de Sistemas Digitales II Pgina 12 de 14

  • PROBLEMA # 9 Dado el Diagrama de Estados de una MSS:

    1. Transfrmelo en un Diagrama ASM. 2. Basndose en Diagrama ASM grafique los mapas para el Decodificador de

    Estado Siguiente asumiendo la asignacin de Cdigo de Estados dado. Simplifique las expresiones en las celdas de los mapas usando los Teoremas de algebra de Boole. Presente las ecuaciones para las salidas X1 BCD Error Enable.

    Formato: Start Final OK Mostrar / X1 BCD Error Enable

    Deber # 1 de Sistemas Digitales II Pgina 13 de 14

  • PROBLEMA # 10 Dado el Diagrama de Estados de una MSS:

    1. Transfrmelo en un Diagrama ASM. 2. Basndose en Diagrama ASM grafique los mapas para el Decodificador de

    Estado Siguiente. Implemente la MSS con flip-flops, mux8a1, decoder y puertas lgicas adicionales necesarias, asumiendo la asignacin de Cdigo de Estados dado.

    Formato: Inicio S1 S0 / P1 P2 P3

    Resetn

    0/0000/000

    1/000

    1/000 1/000

    1/000 0/000

    00/100

    001/010 01/100

    000/0101/000

    01/010

    01/00100/001

    1/000

    a

    c

    b

    e

    d

    f

    y2

    0. a 2. d 6. e 4. f

    y0 1. b 3. c 7. 5. y1

    Deber # 1 de Sistemas Digitales II Pgina 14 de 14

    FACULTAD DE INGENIERIA EN ELECTRICIDAD Y COMPUTACINSISTEMAS DIGITALES IIPROBLEMA # 1PROBLEMA # 3PROBLEMA # 4PROBLEMA # 5