CVN - José Carlos Cabaleiro Domínguezportal.uc3m.es/portal/page/portal/inicio/... · Département...
Transcript of CVN - José Carlos Cabaleiro Domínguezportal.uc3m.es/portal/page/portal/inicio/... · Département...
This electronic file (PDF) has embedded CVN technology (CVN-XML). The CVN technology of this file allows you to export and import curricular data from and
to any compatible data base. List of adapted databases available at: http://cvn.fecyt.es/
José Carlos CabaleiroDomínguezGenerated from: Editor CVN de FECYTDate of document: 22/11/2018v 1.4.0acd0b7c45b7df0de5c5f9f0290f31c19
acd0b7c45b7df0de5c5f9f0290f31c19
2
Summary of CV
This section describes briefly a summary of your career in science, academic and research; themain scientific and technological achievements and goals in your line of research in the medium-and long- term. It also includes other important aspects or peculiarities.
Licenciado en Física en la Universidad de Santiago de Compostela en el año 1989 y doctor enFísica en la misma Universidad en el año 1994. Profesor Titular de Escuela Universitaria enla Facultad de Informática de la Universidad de A Coruña de 1990 a 1994. Profesor Titular deUniversidad en la Universidad de Santiago de Compostela desde 1994. Miembro del CiTIUSdesde 2010. Acreditación a Catedrático de Universidad en noviembre de 2014. 4 sexenios deinvestigación y 5 quinquenios de docencia. Informes favorables de la agencia de calidad delsistema universitario gallego a las labores investigadora, docente y de gestión.
Principales líneas de interés científico: computación de altas prestaciones, arquitectura desistemas paralelos, desarrollo de algoritmos paralelos para clusters y supercomputadores,optimización de rendimiento en problemas irregulares y con matrices dispersas, optimizaciónde la jerarquía de memoria en problemas irregulares, predicción, modelado y mejora delrendimiento de aplicaciones paralelas, algoritmos eficientes para el procesamiento de datosLiDAR, desarrollo de aplicaciones y middleware para sistemas grid, cloud y tecnologíasBigData.
29 publicaciones en revistas internacionales, 25 incluidas en el JCR. Además, 46contribuciones en congresos internacionales, de los cuales 6 están publicados en la serieLecture Notes in Computer Science. Codirección de 6 tesis doctorales. Miembro del equipoinvestigador de forma continuada en 30 proyectos financiados por la UE, el Ministeriocorrespondiente y la Xunta de Galicia. Investigador principal del proyecto del Ministerio vigentedel grupo e investigador responsable en 7 contratos de I+D con empresas y/o administracionesy participación en otros 11. Participación en diferentes redes temáticas, tanto nacionales comointernacionales. Dirección de más de 25 trabajos entre tesinas, trabajos fin de carrera, grado ymáster. Impartición de 11 cursos (más de 100 horas) específicos en instituciones y empresas.
Estancias de investigación en el extranjero de más de 4 semanas de duración: LETI,Département Systèmes, Centre d'Etudes Nucleaires de Grenoble (25 semanas, 1995).
Experiencia docente desde el curso 1990/1991 a tiempo completo, coordinador en 3 proyectosde innovación educativa y colaborador en 2. Asistencia en 15 cursos docentes (unas 300horas de formación docente), entre los que se encuentran los del Programa de Formación eInnovación Docente de la USC. Profesor tutor de la UNED en Pontevedra, área de Arquitecturay Tecnología de Computadores desde el año 1994. Asistencia a más de 100 horas en otroscursos relacionados con temas de investigación. Participación al 50% en la traducción para la
acd0b7c45b7df0de5c5f9f0290f31c19
3
editorial Reverte del libro Computer Networks, 4ª edición, de Larry Peterson y Bruce S. Davie,Morgan Kaufmann, 2007.
En cuanto a la labor en gestión, secretario del departamento durante 6 años.
C.4. Otros- Evaluador externo de proyectos de la ANEP.- Evaluador externo de proyectos de la convocatoria de Proyectos de Investigación FundaciónCajaCanarias 2015.- Evaluador de las convocatorias ICTS del CESGA 2011-2014.- Revisor de revistas internacionales: IEEE Transations on Computers, Int. J. for Num. Methodsin Engineering, Comm. in Num. Methods in Engineering- Miembro del comité científico de congresos: Euro-Par 2008, ISPA 2012, EuroPar 2014, entreotros.- Redes temáticas financiadas: IRIS-GRID, Grid-Middleware, Rede-Grid, G-HPC, CAPAP-H,HiPEAC, TeTXT, TACLe, TLIX, Cloud4HPC, etc.
acd0b7c45b7df0de5c5f9f0290f31c19
4
General quality indicators of scientific research
This section describes briefly the main quality indicators of scientific production (periods ofresearch activity, experience in supervising doctoral theses, total citations, articles in journals ofthe first quartile, H index...). It also includes other important aspects or peculiarities.
Sexenios de investigación: 4, correspondientes a 1990-1995, 1996-2001, 2002-2007 y elúltimo 2008-2013Tesis doctorales dirigidas en los últimos 10 años: 3Citas totales según Scopus: 180 por 136 documentosÍndice h según Scopus: 8Citas totales según Google Scholar: 504Promedio de citas por año en los últimos 5 según Google Scholar: 36Índice h según Google Scholar: 12Publicaciones en el Q1: 4
acd0b7c45b7df0de5c5f9f0290f31c19
5
José Carlos Cabaleiro Domínguez
Surname(s): Cabaleiro DomínguezName: José CarlosDNI: 36075927JORCID: 0000-0002-5674-5162ResearcherID: G-2430-2016Date of birth: 27/05/1966Gender: MaleLand line phone: 881816421Email: [email protected] web page: https://citius.usc.es/equipo/persoal-adscrito/jose-carlos-
cabaleiro-dominguez
Current professional situation
Employing entity: Universidad de Santiago de CompostelaDepartment: Centro Singular de Investigación en Tecnoloxías da Información da USC, CiTIUSProfessional category: Profesor Titular de UniversidadStart date: 31/07/1997Type of contract: Civil servant Dedication regime: Full timePrimary (UNESCO code): 330406 - Computer architectureIdentify key words: Information technology and adata processing
Previous positions and activities
Employing entity Professional category Start date1 Universidad de Santiago de Compostela Profesor Titular de Universidad Interino 06/10/19942 Universidad de La Coruña Profesor Titular de Escuela Universitaria 05/04/19913 Universidad de La Coruña Profesor Titular de Escuela Universitaria
Interino29/06/1990
4 Universidad de Santiago de Compostela Becario de Tercer Ciclo de la Xunta deGalicia
01/10/1989
1 Employing entity: Universidad de Santiago deCompostela
Type of entity: University
Professional category: Profesor Titular de Universidad InterinoStart-End date: 06/10/1994 - 30/07/1997Type of contract: Temporary
2 Employing entity: Universidad de La Coruña Type of entity: UniversityProfessional category: Profesor Titular de Escuela UniversitariaStart-End date: 05/04/1991 - 05/10/1994Type of contract: Civil servant
acd0b7c45b7df0de5c5f9f0290f31c19
6
3 Employing entity: Universidad de La Coruña Type of entity: UniversityProfessional category: Profesor Titular de Escuela Universitaria InterinoStart-End date: 29/06/1990 - 04/04/1991Type of contract: Temporary
4 Employing entity: Universidad de Santiago deCompostela
Type of entity: University
Professional category: Becario de Tercer Ciclo de la Xunta de GaliciaStart-End date: 01/10/1989 - 28/06/1990
acd0b7c45b7df0de5c5f9f0290f31c19
7
Education
University education
1st and 2nd cycle studies and pre-Bologna degrees
1 University degree: TesinaName of qualification: Grado de Licenciatura (Modalidad Tesina)Degree awarding entity: Universidad de Santiago deCompostela
Type of entity: University
Date of qualification: 10/1989
2 University degree: Higher degreeName of qualification: Licenciado en Ciencias Físicas Especialidad ElectrónicaDegree awarding entity: Universidad de Santiago deCompostela
Type of entity: University
Date of qualification: 06/1989
Doctorates
Doctorate programme: Doctorado en Computación Avanzada e Inteligencia ArtificialDegree awarding entity: Universidad de Santiago deCompostela
Type of entity: University
Date of degree: 16/05/1994Obtained qualification: Apto "Cum Laude"
Language skills
Language Listening skills Reading skills Spoken interaction Speaking skills Writing skillsSpanish A1 A1 A1 A1 A1English B1 A2 B1 B1 B1French B2 B1 B2 B2 B2
Teaching experience
acd0b7c45b7df0de5c5f9f0290f31c19
8
Experience supervising doctoral thesis and/or final year projects
1 Project title: Hardware Counter Based Performance Analysis, Modelling, and Improvement through ThreadMigration in NUMA SystemsType of project: Doctoral thesisEntity: Universidad de Santiago de Compostela Type of entity: UniversityStudent: Oscar García LorenzoDate of reading: 11/04/2016European doctorate: Yes Date of recognition: 11/04/2016
2 Project title: Métodos iterativos s-pasos para la resolución de grandes sistemas dispersos de ecuaciones y suimplementación paralelaType of project: Doctoral thesisEntity: Universidad de Santiago de Compostela Type of entity: UniversityStudent: Gerardo Casal UrceraDate of reading: 02/03/2012
3 Project title: Modelado analítico del rendimiento de aplicaciones en sistemas paralelosType of project: Doctoral thesisEntity: Universidad de Santiago de Compostela Type of entity: UniversityStudent: Diego Rodríguez MartínezDate of reading: 18/07/2011
4 Project title: Técnicas de optimización de la localidad para códigos irregulares sobre arquitecturasmultiprocesador y multithreadingType of project: Doctoral thesisEntity: Universidad de Santiago de Compostela Type of entity: UniversityStudent: Juan Carlos Pichel CamposDate of reading: 14/09/2006
5 Project title: Técnicas de resolución de sistemas de ecuaciones densos en multicomputadores: aplicación alcrecimiento de grietas en estructurasType of project: Doctoral thesisEntity: Universidad de Santiago de Compostela Type of entity: UniversityStudent: Patricia González GómezDate of reading: 19/01/2001
6 Project title: Modelado y mejora de localidad en códigos irregularesType of project: Doctoral thesisEntity: Universidad de Santiago de Compostela Type of entity: UniversityStudent: Dora Blanco HerasDate of reading: 21/02/2000
acd0b7c45b7df0de5c5f9f0290f31c19
9
Scientific and technological experience
Scientific or technological activities
R&D projects funded through competitive calls of public or private entities
1 Name of the project: TIN2016-76373-P. Soluciones para nuevos desafíos en computación de altasprestacionesEntity where project took place: Universidad deSantiago de Compostela
Type of entity: University
City of entity: Santiago de Compostela, Galicia, SpainName principal investigator (PI, Co-PI....): Francisco Fernández Rivera; José Carlos CabaleiroDomínguezNº of researchers: 11Start-End date: 30/12/2016 - 29/12/2019Total amount: 194.810 €
2 Name of the project: GRC2014/008. Grupo de arquitectura de computadores. Programa de consolidacióny estructuracióon de unidades de investigación competitivas. Grupos de referencia competitivaEntity where project took place: Universidad de Santiago de CompostelaName principal investigator (PI, Co-PI....): Dr. Javier Díaz Bruguera; Dr. Francisco Fernández RiveraNº of researchers: 9Funding entity or bodies:Dirección Xeral de Promoción Científica e Tecnolóxica do Sistema Universitario Gallego, Xunta de Galicia
Start-End date: 01/01/2014 - 31/12/2016 Duration: 3 years - 11 months - 30 daysParticipating entity/entities: Universidad de Santiago de CompostelaTotal amount: 200.000 €
3 Name of the project: TIN2013-41129-P. SHSCAP: Soluciones hardware y software para la computación dealtas prestacionesEntity where project took place: Universidad deSantiago de Compostela
Type of entity: University
Name principal investigator (PI, Co-PI....): Dr. Javier Díaz Bruguera y Dr. Francisco Fernández RiveraNº of researchers: 9Funding entity or bodies:Ministerio de Economía y Competitividad de España
Start-End date: 01/01/2014 - 31/12/2016 Duration: 2 years - 11 months - 30 daysParticipating entity/entities: Universidad de Santiago de CompostelaTotal amount: 184.192,25 €
4 Name of the project: TIN2010-17541. Hardware y Software para Computación de Altas PrestacionesEntity where project took place: Universidad deSantiago de Compostela
Type of entity: University
Name principal investigator (PI, Co-PI....): Dr. Javier Díaz BrugueraNº of researchers: 15Funding entity or bodies:
acd0b7c45b7df0de5c5f9f0290f31c19
10
Ministerio de Ciencia e Innovación de España
Start-End date: 01/01/2011 - 31/12/2013 Duration: 2 years - 11 months - 30 daysParticipating entity/entities: Universidad de Santiago de CompostelaTotal amount: 224.334 €
5 Name of the project: PXIDI09TIC001CT. FORMIGA CLOUD: Fomentando el reaprovechamiento medianteintegración y gridificación de aulas en CLOUDEntity where project took place: Universidad deSantiago de Compostela
Type of entity: University
Name principal investigator (PI, Co-PI....): Antonio García Loureiro; Javier López CacheiroNº of researchers: 18Funding entity or bodies:XUNTA DE GALICIACity funding entity: Spain
Start-End date: 02/12/2009 - 31/12/2012 Duration: 1 year - 11 months - 29 daysParticipating entity/entities: Centro de Supercomputación de Galicia; Universidad de Santiago deCompostelaTotal amount: 110.001 €
6 Name of the project: Grupo de arquitectura de computadores. Programa de consolidación y estructuraciónde unidades de investigación competitivas. Grupos de referencia competitivaEntity where project took place: Universidad deSantiago de Compostela
Type of entity: University
Name principal investigator (PI, Co-PI....): Dr. Javier Díaz BrugueraNº of researchers: 15Funding entity or bodies:XUNTA DE GALICIACity funding entity: Spain
Start-End date: 01/01/2010 - 15/11/2012 Duration: 2 years - 10 months - 14 daysParticipating entity/entities: Universidad de Santiago de CompostelaTotal amount: 168.000 €
7 Name of the project: DXIDI09TIC002CT. Optimización de aplicaciones irregulares en arquitecturasemergentes de altas prestaciones CPU/GPUEntity where project took place: Universidad deSantiago de Compostela
Type of entity: University
Name principal investigator (PI, Co-PI....): Juan Carlos Pichel CamposFunding entity or bodies:XUNTA DE GALICIACity funding entity: Spain
Start-End date: 01/10/2010 - 30/09/2012 Duration: 1 year - 11 months - 29 daysParticipating entity/entities: Centro de Supercomputación de Galicia; Universidad de Santiago deCompostelaTotal amount: 13.915 €
8 Name of the project: 2009-PG380. Agrupación estratégica CITIUS. Programa de consolidación yestructuración de unidades de investigación. Agrupaciones de grupos de investigaciónEntity where project took place: Universidad deSantiago de Compostela
Type of entity: University
Name principal investigator (PI, Co-PI....): Dr. Paulo Félix Lamas
acd0b7c45b7df0de5c5f9f0290f31c19
11
Nº of researchers: 34Funding entity or bodies:XUNTA DE GALICIACity funding entity: Spain
Start-End date: 01/01/2009 - 31/12/2011 Duration: 2 years - 11 months - 30 daysParticipating entity/entities: Universidad de Santiago de CompostelaTotal amount: 750.000 €
9 Name of the project: TIN2007-67537-C03-01. Soporte Hardware y Software para Computación de AltasPrestacionesEntity where project took place: Universidad deSantiago de Compostela
Type of entity: University
Name principal investigator (PI, Co-PI....): Dr. Javier Díaz BrugueraNº of researchers: 15Funding entity or bodies:Ministerio de Educación y Ciencia de España
Start-End date: 01/10/2007 - 30/09/2010 Duration: 2 years - 11 months - 29 daysParticipating entity/entities: Universidad de Santiago de CompostelaTotal amount: 302.500 €
10 Name of the project: TIN2004-07797-C02-01. Soluciones middleware y hardware en computación de altasprestaciones: Aplicación a códigos multimedia y de simulaciónEntity where project took place: Universidad deSantiago de Compostela
Type of entity: University
Name principal investigator (PI, Co-PI....): Javier Díaz BrugueraNº of researchers: 9Funding entity or bodies:Ministerio de Ciencia y Tecnología (MCYT)
Start-End date: 13/12/2004 - 12/12/2007Total amount: 400.000 €
11 Name of the project: IST-2001-32243. CROSSGRID: Development of GRID environment for interactiveapplicationsEntity where project took place: Universidad deSantiago de Compostela
Type of entity: University
Name principal investigator (PI, Co-PI....): Francisco Fernández RiveraNº of researchers: 9Funding entity or bodies:Unión Europea Type of entity: Public Research Body
Start-End date: 01/03/2002 - 28/02/2005Total amount: 186.853 €
acd0b7c45b7df0de5c5f9f0290f31c19
12
R&D non-competitive contracts, agreements or projects with public or private entities
1 Name of the project: LiDAR-UAV: Procesado LiDAR eficiente para aplicaciones de UAVsDegree of contribution: ResearcherName principal investigator (PI, Co-PI....): Francisco Fernández RiveraNº of researchers: 4Funding entity or bodies:Civil UAVs Initiative: Fase A, Xunta de Galicia
Babcock Internacional Type of entity: Business
Start date: 21/11/2016 Duration: 1 yearTotal amount: 116.821,14 €
2 Name of the project: 2011-CE139. CELTIC: Conocimiento Estratégico Liderado por Tecnologías para laIntelixencia Competitiva, ITC-20113031. Subproyecto: Desarrollo de técnicas de paralelización de códigospara mejorar la velocidad de procesamiento de ETL multilingüeName principal investigator (PI, Co-PI....): Juan Carlos Pichel CamposNº of researchers: 4Participating entity/entities: Imaxin Software; Universidad de Santiago de CompostelaFunding entity or bodies:FEDER-INNTERCONECTA, Centro para elDesarrollo Tecnológico Industrial (CDTI)
Type of entity: Public Research Body
Start date: 01/05/2012 Duration: 2 years - 8 monthsTotal amount: 21.000 €
3 Name of the project: 2011/CU060. Computación de altas prestacionesName principal investigator (PI, Co-PI....): José Carlos Cabaleiro Domínguez; Tomás Fernández PenaParticipating entity/entities: Centro de Supercomputación de Galicia; Universidad de Santiago deCompostelaFunding entity or bodies:Centro de Supercomputación de Galicia Type of entity: State agencyCity funding entity: Santiago de Compostela, Galicia, Spain
Start date: 01/01/2012 Duration: 1 yearTotal amount: 11.820 €
4 Name of the project: 2010/CP948. Análisis, modelado y mejora del rendimiento de aplicaciones decomputación de altas prestacionesName principal investigator (PI, Co-PI....): José Carlos Cabaleiro Domínguez; Tomás Fernández PenaParticipating entity/entities: Centro de Supercomputación de Galicia; Universidad de Santiago deCompostelaFunding entity or bodies:Centro de Supercomputación de Galicia Type of entity: State agencyCity funding entity: Santiago de Compostela, Galicia, Spain
Start date: 23/12/2010 Duration: 1 year - 8 daysTotal amount: 11.820 €
acd0b7c45b7df0de5c5f9f0290f31c19
13
5 Name of the project: 2009/CP369. Adecuación del modelo de predicción meteorológica WRF a entornosGRIDName principal investigator (PI, Co-PI....): José Carlos Cabaleiro DomínguezNº of researchers: 5Participating entity/entities: Centro de Supercomputación de Galicia; Universidad de Santiago deCompostelaFunding entity or bodies:Red Española de e-Ciencia Type of entity: Associations and Groups
Start date: 03/05/2009 Duration: 6 months - 12 daysTotal amount: 5.000 €
6 Name of the project: 2008/CEELFRA377. Subproyecto 1. Using Hardware Counters to ImproveMemory Performance: Irregular Codes and Page Migration. Subproyecto 2. Improving UPC Usability andPerformance in Constellation Systems: Implementation/Extensions of UPC LibrariesDegree of contribution: Scientific coordinatorName principal investigator (PI, Co-PI....): Francisco Fernández RiveraNº of researchers: 16Participating entity/entities: Centro de Supercomputación de Galicia; Hewlett Packard Española, S.L. ;Universidad de A Coruña; Universidad de Santiago de CompostelaFunding entity or bodies:Hewlett-Packard
Start date: 01/05/2008 Duration: 3 yearsTotal amount: 500.000 €
7 Name of the project: 2005/CG494. Asistencia técnica en el desarrollo de una arquitectura software decomunicación basada en la implementación de un servicio web sobre Windows XP ServerName principal investigator (PI, Co-PI....): José Carlos Cabaleiro DomínguezNº of researchers: 3Participating entity/entities: ITEGA ORENSE, S.L.; Universidad de Santiago de CompostelaFunding entity or bodies:ITEGA ORENSE, S.L.City funding entity: Spain
Start date: 01/11/2005 Duration: 1 yearTotal amount: 22.110 €
8 Name of the project: 2002/CE240. Programa para máquina cortadora de granito de 2 a 5 ejesName principal investigator (PI, Co-PI....): José Carlos Cabaleiro DomínguezNº of researchers: 3Participating entity/entities: ITEGA ORENSE, S.L.; Universidad de Santiago de CompostelaFunding entity or bodies:ITEGA ORENSE, S.L.City funding entity: Spain
Start date: 16/09/2002 Duration: 1 yearTotal amount: 19.018 €
acd0b7c45b7df0de5c5f9f0290f31c19
14
Results
Industrial and intellectual property
1 Title registered industrial property: Segmentador y clasificador en vegetación, elementos constructivos yterreno de datos LiDAR basado en crecimiento de regionesInventors/authors/obtainers: Jorge Martínez Sánchez; David López Vilariño; José Carlos CabaleiroDomínguez; Tomás Fernández Pena; Francisco Fernández RiveraEntity holder of rights: Universidad de Santiago de CompostelaNº of application: SC-333-2016Country of inscription: Spain, GaliciaDate of register: 17/11/2016Conferral date: 17/11/2016
2 Title registered industrial property: Sistema para detectar y caracterizar zonas de aterrizaje usandonubes de puntos LiDARInventors/authors/obtainers: Oscar García Lorenzo; Jorge Martínez Sánchez; Francisco FernándezRivera; José Carlos Cabaleiro Domínguez; Tomás Fernández Pena; David López VilariñoEntity holder of rights: Universidad de Santiago de CompostelaNº of application: SC-334-2016Country of inscription: Spain, GaliciaDate of register: 17/11/2016Conferral date: 17/11/2016
Scientific and technological activities
Scientific production
Publications, scientific and technical documents
1 Jorge Martínez; Oscar G. Lorenzo; David L. Vilariño; Tomás F. Pena; José C. Cabaleiro; Francisco F. Rivera.Olivia: A Developer-Friendly "Open Lidar VIsualiser and Analyser" for Point Clouds with 3D Stereoscopic View.IEEE Access. 6 -, pp. 63813 - 63822. IEEE, 2018.Type of production: Scientific paper
2 David L. Vilariño; José C. Cabaleiro; Jorge Martínez; Francisco F. Rivera; Tomás F. Pena. Graph-basedapproach for airborne light detection and ranging segmentation. Journal of Applied Remote Sensing. 11 - 1, SPIE,10/03/2017. ISSN 1931-3195Type of production: Scientific paper
3 Lorenzo, Oscar G.; Martínez, Jorge; Vilariño, David L.; Pena, Tomás F.; Cabaleiro, José C.; Rivera, FranciscoF.Landing sites detection using LiDAR data on manycore systems. The Journal of Supercomputing. 73 - 1, pp. 557- 575. Kluwer Academic Publishers, 01/2017. ISSN 0920-8542Type of production: Scientific paper Format: Journal
acd0b7c45b7df0de5c5f9f0290f31c19
15
4 M. Fernández; Juan C. Pichel; José C. Cabaleiro; Tomás F. Pena. Boosting performance of a Statistical MachineTranslation system using dynamic parallelism. Journal of Computational Science. 13, pp. 37 - 48. Elsevier,03/2016.Type of production: Scientific paper Format: Journal
5 O.G. Lorenzo; T.F. Pena; J.C. Cabaleiro; J.C. Pichel; F.F. Rivera. 3DyRM: a dynamic roofline model includingmemory latency information. Journal of Supercomputing. 70 - 2, pp. 696 - 708. 2014. Available on-line at:<http://www.scopus.com/inward/record.url?eid=2-s2.0-84917715997&partnerID=MN8TOARS>.Type of production: Scientific paper Format: Journal
6 O.G. Lorenzo; T.F. Pena; J.C. Cabaleiro; J.C. Pichel; J.A. Lorenzo; F.F. Rivera. Ahardware counter-based toolkit for the analysis of memory accesses in SMPs. ConcurrencyComputation Practice and Experience. 26 - 6, pp. 1328 - 1341. 2014. Available on-line at:<http://www.scopus.com/inward/record.url?eid=2-s2.0-84897082487&partnerID=MN8TOARS>.Type of production: Scientific paper Format: Journal
7 Marcos Salgueiro; Patricia González Gómez; Tomás Fernández Pena; José Carlos Cabaleiro Domínguez.Assessment, Design and Implementation of a Private Cloud for MapReduce Applications. Open Access LibraryJournal. pp. 1 - 10. Open Access Library, 2014.Type of production: Scientific paper Format: Journal
8 D.R. Martínez; V. Blanco; J.C. Cabaleiro; T.F. Pena; F.F. Rivera. Modeling theperformance of parallel applications using model selection techniques. ConcurrencyComputation Practice and Experience. 26 - 2, pp. 586 - 599. 2014. Available on-line at:<http://www.scopus.com/inward/record.url?eid=2-s2.0-84892534332&partnerID=MN8TOARS>.Type of production: Scientific paper Format: Journal
9 Oscar García Lorenzo; Tomás Fernández Pena; José Carlos Cabaleiro Domínguez; Juan Carlos Pichel Campos;Francisco Fernández Rivera. Using an extended Roofline Model to understand data and thread affinities on NUMAsystems. Annals of Multicore and GPU Programming. pp. 56 - 67. 2014.Type of production: Scientific paper Format: Journal
10 J.A. Lorenzo-Castillo; J.C. Pichel; F.F. Rivera; T.F. Pena; J.C. Cabaleiro. A flexible and dynamic page migrationinfrastructure based on hardware counters. Journal of Supercomputing. 65 - 2, pp. 930 - 948. 2013. Availableon-line at: <http://www.scopus.com/inward/record.url?eid=2-s2.0-84881376162&partnerID=MN8TOARS>.Type of production: Scientific paper Format: Journal
11 J.A. Alvarez-Dios; J.C. Cabaleiro; G. Casal. A generalization of s-step variants of gradient methods.Journal of Computational and Applied Mathematics. 236 - 12, pp. 2938 - 2953. 2012. Available on-line at:<http://www.scopus.com/inward/record.url?eid=2-s2.0-84865646185&partnerID=MN8TOARS>.Type of production: Scientific paper Format: Journal
12 J.C. Pichel; J.A. Lorenzo; D.B. Heras; J.C. Cabaleiro; T.F. Pena. Analyzing the execution of sparse matrix-vectorproduct on the Finisterrae SMP-NUMA system. Journal of Supercomputing. 58 - 2, pp. 195 - 205. 2011. Availableon-line at: <http://www.scopus.com/inward/record.url?eid=2-s2.0-80054888287&partnerID=MN8TOARS>.Type of production: Scientific paper Format: Journal
13 D.R. Martínez; J.L. Albín; T.F. Pena; J.C. Cabaleiro; F.F. Rivera; V. Blanco. Usingaccurate AIC-based performance models to improve the scheduling of parallelapplications. Journal of Supercomputing. 58 - 3, pp. 332 - 340. 2011. Available on-line at:<http://www.scopus.com/inward/record.url?eid=2-s2.0-84855689879&partnerID=MN8TOARS>.Type of production: Scientific paper Format: Journal
acd0b7c45b7df0de5c5f9f0290f31c19
16
14 J.C. Pichel; D.B. Heras; J.C. Cabaleiro; A.J. GarcíaLoureiro; F.F. Rivera. Increasing the locality ofiterative methods and its application to the simulation of semiconductor devices. International Journalof High Performance Computing Applications. 24 - 2, pp. 136 - 153. 2010. Available on-line at:<http://www.scopus.com/inward/record.url?eid=2-s2.0-77951233596&partnerID=MN8TOARS>.Type of production: Scientific paper Format: Journal
15 J.C. Pichel; D.B. Heras; J.C. Cabaleiro; F.F. Rivera. Increasing data reuse of sparsealgebra codes on simultaneous multithreading architectures. Concurrency ComputationPractice and Experience. 21 - 15, pp. 1838 - 1856. 2009. Available on-line at:<http://www.scopus.com/inward/record.url?eid=2-s2.0-70349124898&partnerID=MN8TOARS>.Type of production: Scientific paper Format: Journal
16 J.C. Pichel; D.B. Heras; J.C. Cabaleiro; F.F. Rivera. Performance optimization of irregular codes based on thecombination of reordering and blocking techniques. Parallel Computing. 31 - 8-9, pp. 858 - 876. 2005. Availableon-line at: <http://www.scopus.com/inward/record.url?eid=2-s2.0-25644439819&partnerID=MN8TOARS>.Type of production: Scientific paper Format: Journal
17 P. González; J.C. Cabaleiro; T.F. Pena. Iterative solution of large linear systems with non-smoothsubmatrices using partial wavelet transforms and split-matrix matrix-vector multiplication. InternationalJournal for Numerical Methods in Engineering. 59 - 4, pp. 457 - 473. 2004. Available on-line at:<http://www.scopus.com/inward/record.url?eid=2-s2.0-0442327966&partnerID=MN8TOARS>.Type of production: Scientific paper Format: Journal
18 P. González; T.F. Pena; J.C. Cabaleiro. Parallel sparse approximate preconditioners applied to the solution ofBEM systems. Engineering Analysis with Boundary Elements. 28 - 9, pp. 1061 - 1068. 2004. Available on-line at:<http://www.scopus.com/inward/record.url?eid=2-s2.0-3142727223&partnerID=MN8TOARS>.Type of production: Scientific paper Format: Journal
19 V. Blanco; P. González; J.C. Cabaleiro; D.B. Heras; T.F. Pena; J.J. Pombo; F.F. Rivera. Performance predictionfor parallel iterative solvers. Journal of Supercomputing. 28 - 2, pp. 177 - 191. 2004. Available on-line at:<http://www.scopus.com/inward/record.url?eid=2-s2.0-3543053442&partnerID=MN8TOARS>.Type of production: Scientific paper Format: Journal
20 V. Blanco; P. González; J.C. Cabaleiro; D.B Heras; T.F. Pena; J.J. Pombo; F.F. Rivera.AVISPA: Visualizing the performance prediction of parallel iterative solvers. FutureGeneration Computer Systems. 19 - 5 SPEC, pp. 721 - 733. 2003. Available on-line at:<http://www.scopus.com/inward/record.url?eid=2-s2.0-0038248786&partnerID=MN8TOARS>.Type of production: Scientific paper Format: Journal
21 P. González; J.C. Cabaleiro; T.F. Pena. Parallel iterative solvers involving fast wavelet transforms for thesolution of BEM systems. Advances in Engineering Software. 33 - 7-10, pp. 417 - 426. 2002. Available on-line at:<http://www.scopus.com/inward/record.url?eid=2-s2.0-0036663130&partnerID=MN8TOARS>.Type of production: Scientific paper Format: Journal
22 V. Blanco; P. González; J.C. Cabaleiro; D.B. Heras; T.F. Pena; J.J. Pombo; F.F. Rivera.Performance of parallel iterative solvers: A library, a prediction model, and a visualization tool.Journal of Information Science and Engineering. 18 - 5, pp. 763 - 785. 2002. Available on-line at:<http://www.scopus.com/inward/record.url?eid=2-s2.0-0036756339&partnerID=MN8TOARS>.Type of production: Scientific paper Format: Journal
23 D.B. Heras; V. Blanco; J.C. Cabaleiro; F.F. Rivera. Modeling and improving locality for the sparse-matrix-vectorproduct on cache memories. Future Generation Computer Systems. 18 - 1, pp. 55 - 67. 2001. Available on-line at:<http://www.scopus.com/inward/record.url?eid=2-s2.0-0035450031&partnerID=MN8TOARS>.Type of production: Scientific paper Format: Journal
acd0b7c45b7df0de5c5f9f0290f31c19
17
24 D.B. Heras; J.C. Cabaleiro; F.F. Rivera. Modeling data locality for the sparse matrix-vector productusing distance measures. Parallel Computing. 27 - 7, pp. 897 - 912. 2001. Available on-line at:<http://www.scopus.com/inward/record.url?eid=2-s2.0-0035370397&partnerID=MN8TOARS>.Type of production: Scientific paper Format: Journal
25 P. González; J.C. Cabaleiro; T.F. Pena. Parallel computation of wavelet transforms using thelifting scheme. Journal of Supercomputing. 18 - 2, pp. 141 - 152. 2001. Available on-line at:<http://www.scopus.com/inward/record.url?eid=2-s2.0-0342855234&partnerID=MN8TOARS>.Type of production: Scientific paper Format: Journal
26 Patricia González; José C. Cabaleiro; Tomás F. Pena. On parallel solvers for sparse triangular systems. Journal ofSystems Architecture. 05/2000.Type of production: Scientific paper Format: Journal
27 P. González; T.F. Pena; J.C. Cabaleiro; F.F. Rivera. Dual BEM for crack growth analysis on distributed-memorymultiprocessors. Advances in engineering software. 31 - 12, pp. 921 - 927. 2000. Available on-line at:<http://www.scopus.com/inward/record.url?eid=2-s2.0-0342955497&partnerID=MN8TOARS>.Type of production: Scientific paper Format: Journal
28 P. González; J. C. Cabaleiro; T. F. Pena. Parallel incomplete LU factorization as a preconditioner for Krylovsubspace methods. Parallel Porcessing Letters. 9 - 4, pp. 467 - 474. World Scientific, 1999.Type of production: Scientific paper Format: Journal
29 J.C. Cabaleiro; F.F. Rivera; O.G. Plata; E.L. Zapata. Parallel algorithm for Householder's tridiagonalizationof a symmetric matrix. Cybernetics and Systems. 23 - 3-4, pp. 345 - 357. 1992. Available on-line at:<http://www.scopus.com/inward/record.url?eid=2-s2.0-0026869810&partnerID=MN8TOARS>.Type of production: Scientific paper Format: Journal
30 T.F. Pena; J.C. Cabaleiro; J.D. Bruguera; E.L. Zapata. Filtering with the fast Ttransform. Electronics Letters. 26 - 11, pp. 718 - 720. 1990. Available on-line at:<http://www.scopus.com/inward/record.url?eid=2-s2.0-0025434293&partnerID=MN8TOARS>.Type of production: Scientific paper Format: Journal
31 E.L. Zapata; J.C. Cabaleiro; R. Doallo; F. Argüello. Systolic architecture for the calculation of the correlationcoefficients. Microprocessing and Microprogramming. 30 - 1-5, pp. 609 - 616. 1990. Available on-line at:<http://www.scopus.com/inward/record.url?eid=2-s2.0-0025469310&partnerID=MN8TOARS>.Type of production: Scientific paper Format: Journal
32 D.R. Martínez; V. Blanco; M. Boullón; J.C. Cabaleiro; T.F. Pena. Analytical performance models of parallelprograms in clusters. NIC Series-Advances in Parallel Computing. Parallel Computing: Architectures,Algorithms and Applications: Proc. of the Int. Conf. ParCo 2007. 15, pp. 99 - 106. 2008. Available on-line at:<http://www.scopus.com/inward/record.url?eid=2-s2.0-84880049435&partnerID=MN8TOARS>.Type of production: Book chapter Format: Book
33 J. A. Alvarez-Dios; J. C. Cabaleiro; G. Casal. A s-step variant of the double orthogonal series method. NumericalMathematics and Advanced Applications, ENUMATH 2005. pp. 937 - 944. Springer-Verlag, 2006.Type of production: Book chapter Format: Book
34 D. R. Martínez; J. L. Albín; J. C. Cabaleiro; T. F. Pena; F. F. Rivera. A Load Balance Methodology for HighlyCompute-Intensive Applicationson Grids Based on Computational Modeling. Lecture Notes in Computer Science.On the Move to Meaningful Internet Systems 2005: OTM 2005 Workshops. 3762, pp. 327 - 336. Springer--Verlag,2005.
acd0b7c45b7df0de5c5f9f0290f31c19
18
Type of production: Book chapter Format: Book
35 M. Boullón; J. C. Cabaleiro; R. Doallo; P. González; D. R. Martínez; M. Martín; J. C. Mouriño; T. F. Pena andF. F.Rivera. Modelling Execution Time of Selected Computation and Communication Kernels on Grids. Lecture Notes inComputer Science. Advances in Grid Computing - EGC 2005. 3470, pp. 731 - 740. Springer-Verlag, 2005.Type of production: Book chapter Format: Book
36 J. Carlos Mouriño; M.J. Martín; P. González; M. Boullón; J.C. Cabaleiro; T.F. Pena; F.F. Rivera; R. Doallo.A grid-enabled air quality simulation. Lecture Notes in Computer Science (including subseries Lecture Notesin Artificial Intelligence and Lecture Notes in Bioinformatics). 2970, pp. 155 - 162. 2004. Available on-line at:<http://www.scopus.com/inward/record.url?eid=2-s2.0-33745942596&partnerID=MN8TOARS>.Type of production: Book chapter Format: Book
37 D. B. Heras; J. C. Cabaleiro; F. F. Rivera. Analysis and Improvement of Data Locality for the Transposition of aSparse Matrix. Parallel Computing: Advances and Current Issues. pp. 457 - 464. Imperial College Press, 2002.Type of production: Book chapter Format: Book
38 V. Blanco; P. González; J. C. Cabaleiro; D. B. Heras; T. F. Pena; J. J. Pombo; F. F. Rivera. PerformancePrediction for Parallel Iterative Solvers. Lecture Notes in Computer Science. Computational Science - ICCS 2002.2330, pp. 923 - 932. Springer-Verlag, 2002.Type of production: Book chapter Format: Book
39 P. González; J. C. Cabaleiro; T. F. Pena. Parallel Iterative Scheme for Solving BEM Systems Using Fast WaveletTransforms. Developments in Engineering Computational Technology. pp. 249 - 258. Civil-Comp Press, 2000.Type of production: Book chapter Format: Book
40 P. González; T.F. Pena; J.C. Cabaleiro. Parallel sparse approximate preconditioners for thesolution of large dense linear systems. Recent Advances in Applied and Theoretical Mathematics.pp. 50 - 55. World Scientific and Engineering Society Press, 2000. Available on-line at:<http://www.scopus.com/inward/record.url?eid=2-s2.0-3142677960&partnerID=MN8TOARS>.Type of production: Book chapter Format: Book
41 D. B. Heras; V. Blanco; J. C. Cabaleiro; F. F. Rivera. Study of Data Locality for Iterative Methods. ParallelComputing: Fundamentals & Applications. pp. 558 - 565. Imperial College Press, 2000.Type of production: Book chapter Format: Book
42 D. B. Heras; V. Blanco; J. C. Cabaleiro; F. F. Rivera. Modeling and Improving Locality for Irregular Problems:Sparse Matrix-Vector Product on Cache Memories as a Case Study. Lecture Notes in Computer Science.High-Performance Computing and Networking. 1593, pp. 201 - 210. Springer-Verlag, 1999.Type of production: Book chapter Format: Book
43 P. González; T. F. Pena; J. C. Cabaleiro; F. F. Rivera. Dual Boundary Element Method for Crack Growth Analysison Distributed--Memory Multiprocessors. Advances in Engineering Computational Technology. pp. 65 - 71.Civil--Comp Press, 1998.Type of production: Book chapter Format: Book
44 D. B. Heras; J. C. Cabaleiro; V. Blanco; P. Costas; F. F. Rivera. Principal Component Analysis on VectorComputers. Lecture Notes in Computer Science. Vector and Parallel Processing - VECPAR'96. 1215, pp. 416 -428. Springer--Verlag, 1997.Type of production: Book chapter Format: Book
acd0b7c45b7df0de5c5f9f0290f31c19
19
45 I. García; Esther M. Garzón; J. C. Cabaleiro; J. M. Carazo; E. L. Zapata. Parallel Tridiagonalization of SymmetricMatrices Based on Lanczos Method. Parallel Computing and Transputer Applications. 1, pp. 236 - 245. IOSPress/CIMME, 1992.Type of production: Book chapter Format: Book
Works submitted to national or international conferences
1 Title of the work: Comparative study of building footprint estimation methods from LiDAR point cloudsName of the conference: SPIE Image and Signal Processing for Remote Sensing XXIIICity of event: Warsaw, PolandDate of event: 09/2017Esteban Rozas; Francisco F. Rivera; José C. Cabaleiro; Tomás F. Pena; David L. Vilariño. "SPIE Image andSignal Processing for Remote Sensing XXIII".
2 Title of the work: OPERA-P: An Adaptive Scheduler for Dynamically Provisioning Big Data FrameworksOn-demandName of the conference: 3rd European HTCondor WorkshopCity of event: Hamburg, GermanyDate of event: 06/2017Feras M. Awaysheh; José C. Cabaleiro; Tomás F. Pena. pp. 709 - 714.
3 Title of the work: EME: An automated, elastic and efficient prototype for provisioning Hadoop clusterson-demandName of the conference: The 7th International Conference on Cloud Computing and Services ScienceCity of event: Porto, PortugalDate of event: 04/2017Feras M. Awaysheh; Tomás F. Pena; José C. Cabaleiro. "The 7th International Conference on CloudComputing and Services Science". pp. 709 - 714. SCITEPRESS Digital Library, ISBN 978-989-758-243-1
4 Title of the work: A rule-based classification from a region-growing segmentation of airborne lidarName of the conference: SPIE Image and Signal Processing for Remote Sensing XXIICity of event: Edinburgh, United KingdomDate of event: 09/2016J. Martínez; F. F. Rivera; J. C. Cabaleiro; D. L. Vilariño; T. F. Pena. "SPIE Image and Signal Processing forRemote Sensing XXII". ISSN 0277-786X, ISBN 978-1-5106-0412-4
5 Title of the work: Graph-based segmentation of airborne lidar point cloudsName of the conference: SPIE Image and Signal Processing for Remote Sensing XXIICity of event: Edinburgh, United KingdomDate of event: 09/2016D. L. Vilariño; J. Martínez; F. F. Rivera; J. C. Cabaleiro; T. F. Pena. "SPIE Image and Signal Processing forRemote Sensing XXII". ISSN 0277-786X, ISBN 978-1-5106-0412-4
6 Title of the work: Parallel landing sites detection using LiDAR data on manycore systemsName of the conference: 16th International Conference on Computational and Mathematical Methods inScience and EngineeringCity of event: Rota (Cádiz),Date of event: 07/2016O. G. Lorenzo; J. Martínez; D. L. Vilariño; T. F. Pena; J. C. Cabaleiro; F. F. Rivera. "16th InternationalConference on Computational and Mathematical Methods in Science and Engineering". pp. 805 - 816. ISBN978-84-608-6082-2
acd0b7c45b7df0de5c5f9f0290f31c19
20
7 Title of the work: Power and Energy Implications of the Number of Threads Used on the Intel Xeon PhiName of the conference: 2nd Congress on Multicore and GPU ProgrammingCity of event: Cáceres,Date of event: 03/2015O. G. Lorenzo; T. F. Pena; J. C. Cabaleiro; J. C. Pichel; F. F. Rivera. "2nd Congress on Multicore and GPUProgramming". pp. 1 - 8. ISBN 978-84-606-6036-1
8 Title of the work: Multiobjective Optimization Technique Based on Monitoring Information to Increase thePerformance of Thread Migration on MulticoresName of the conference: IEEE International Conference on Cluster ComputingCity of event: Madrid,Date of event: 09/2014End date: 26/09/2014O. G. Lorenzo; T. F. Pena; J. C. Cabaleiro; J. C. Pichel; F. F. Rivera. "IEEE International Conference onCluster Computing". pp. 416 - 423. ISBN 978-1-4799-5548-0
9 Title of the work: DyRM: A Dynamic Roofline Model Based on Runtime InformationDate of event: 06/2013Type of contribution: Scientific book or monographO. G. Lorenzo; T. F. Pena; J. C. Cabaleiro; J. C. Pichel; F. F. Rivera. "2013 International ConferenceComputational and Mathematical Methods in Science and Engineering". pp. 965 - 976. 06/2013.
10 Title of the work: A graphical tool for performance analysis of multicore systems based on the RooflineModelDate of event: 07/2012Type of contribution: Scientific book or monographF. F. Rivera; R. Iglesias; J. A. Lorenzo; J. C. Pichel; T. F. Pena; J. C. Cabaleiro. "2012 10th IEEEInternational Symposium on Parallel and Distributed Processing with Applications". pp. 847 - 849. 07/2012.
11 Title of the work: Hardware Counters Based Analysis of Memory Accesses in SMPsDate of event: 07/2012Type of contribution: Scientific book or monographOscar G. Lorenzo; Tomás F. Pena; José C. Cabaleiro; Juan C. Pichel; Juan A. Lorenzo; Francisco F.Rivera. "2012 10th IEEE International Symposium on Parallel and Distributed Processing with Applications".pp. 595 - 602. 07/2012.
12 Title of the work: Model Selection to Characterize Performance Using Genetic AlgorithmsDate of event: 07/2012Type of contribution: Scientific book or monographD. R. Martínez; J. C. Cabaleiro; T. F. Pena; F. F. Rivera; V. Blanco. "2012 10th IEEE InternationalSymposium on Parallel and Distributed Processing with Applications". pp. 859 - 861. 07/2012.
13 Title of the work: Estimating the effect of cache misses on the performance of parallel applications usinganalytical modelsDate of event: 12/2011Type of contribution: Scientific book or monographD. R. Martinez; V. Blanco; J. C. Cabaleiro; T. F. Pena; F. F. Rivera. "9th IEEE/ACS International Conferenceon Computer Systems and Applications (AICCSA)". pp. 112 - 119. 12/2011. ISSN 2161-5322
acd0b7c45b7df0de5c5f9f0290f31c19
21
14 Title of the work: A Study of Memory Access Patterns in Irregular Parallel Codes Using HardwareCounter-Based ToolsDate of event: 06/2011Type of contribution: Scientific book or monographOscar G. Lorenzo; Juan A. Lorenzo; José C. Cabaleiro; Dora B. Heras; Marcos Suarez; Juan C. Pichel."International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA2011)". pp. 920 - 923. 06/2011.
15 Title of the work: A generalization of s-teps variants of Gradient methodsDate of event: 06/2010Type of contribution: Scientific book or monographJ. A. Álvarez-Dios; J. C. Cabaleiro; G. Casal. "2010 International Conference Computational andMathematical Methods in Science and Engineering". pp. 96 - 107. 06/2010.
16 Title of the work: Improving the Scheduling of Parallel Applications using Accurate AIC-based PerformanceModelsDate of event: 06/2010Type of contribution: Scientific book or monographD. R. Martínez; J. L. Albín; V. Blanco; T. F. Pena; J. C. Cabaleiro; F. F. Rivera. "2010 InternationalConference Computational and Mathematical Methods in Science and Engineering". pp. 608 - 611.06/2010.
17 Title of the work: Automatic Parameter Assessment of LogP-based Communication Models in MPIEnvironmentsDate of event: 05/2010Type of contribution: Scientific book or monographD. R. Martínez; V. Blanco; J. C. Cabaleiro; T. F. Pena; F. F. Rivera. "Procedia Computer Science. ICCS2010 – Proceedings of the 10th International Conference on Computational Science". pp. 2149 - 2158.05/2010.
18 Title of the work: Performance Modeling of MPI Applications using Model Selection TechniquesDate of event: 02/2010Type of contribution: Scientific book or monographD. R. Martínez; J. C. Cabaleiro; T. F. Pena; F. F. Rivera; V. Blanco. "Proceedings of the 18th EuromicroConference on Parallel, Distributed and Network-based Processing". pp. 95 - 102. 02/2010.
19 Title of the work: Evaluating Sparse Matrix-Vector Product on the FinisTerrae SupercomputerDate of event: 07/2009Type of contribution: Scientific book or monographJuan C. Pichel; Juan A. Lorenzo; Dora B. Heras; J. C. Cabaleiro. "2009 International ConferenceComputational and Mathematical Methods in Science and Engineering". pp. 831 - 842. 07/2009.
20 Title of the work: Accurate Analytical Performance Model of Communications in MPI ApplicationsDate of event: 05/2009Type of contribution: Scientific book or monographD. R. Martínez; J. C. Cabaleiro; T. F. Pena; F. F. Rivera; V. Blanco. "23rd IEEE International Parallel andDistributed Processing Symposium, IPDPS 2009, Workshop PMEO-UCNS 2009". 05/2009.
21 Title of the work: FORMIGA/G-FLUXO: Adding Computer Labs to the GridDate of event: 05/2009Type of contribution: Scientific book or monograph
acd0b7c45b7df0de5c5f9f0290f31c19
22
J. López Cacheiro; D. Cordero Placer; C. Fernández Iglesias; E. Gutiérrez Sanmartín; R. Valín; C.Fernández Sánchez; J. I. López Cabido; A. Rodríguez López; A. J. García Loureiro; M. Aldegunde; N.Seoane; T. F. Pena; J. C. Cabaleiro; F. F. Rivera. "3rd Iberian Grid Infrastructure Conference Proceedings(IBERGRID 2009)". pp. 237 - 246. 05/2009.
22 Title of the work: Gridification of a Nanodevide Monte Carlo Simulator for the FORMIGA ProjectDate of event: 05/2009Type of contribution: Scientific book or monographR. Valín; A. J. García Loureiro; M. Aldegunde; N. Seoane; T. F. Pena; J. C. Cabaleiro; F. F. Rivera; D.Cordero Placer; C. Fernández Iglesias; J. López Cacheiro; C. Fernández Sánchez; J. I. López Cabido. "3rdIberian Grid Infrastructure Conference Proceedings (IBERGRID 2009)". pp. 109 - 116. 05/2009.
23 Title of the work: Greedy Performance Metrics for Grid SchedulersDate of event: 04/2009Type of contribution: Scientific book or monographJ. L. Albín; T. F. Pena; J. C. Cabaleiro; F. F. Rivera. "First International Conference on Parallel, Distributedand Grid Computing for Engineering". 04/2009.
24 Title of the work: Simulation of Parallel Applications in GridSimDate of event: 05/2007Type of contribution: Scientific book or monographJ. L. Albín; J. A. Lorenzo; J. C. Cabaleiro; T. F. Pena; F. F. Rivera. "1st Iberian Grid InfrastructureConference, IBERGRID". pp. 208 - 219. 05/2007.
25 Title of the work: Software Tools for Performance Modeling of Parallel ProgramsDate of event: 03/2007Type of contribution: Scientific book or monographD. R. Martínez; V. Blanco; M. Boullón; J. C. Cabaleiro; C. Rodríguez; F. F. Rivera. "21st IEEE InternationalParallel and Distributed Processing Symposium, IPDPS 2007, Workshop PMEO-PDS 2007". 03/2007.
26 Title of the work: A New Technique to Reduce False Sharing in Parallel Irregular Codes Based on DistanceFunctionsDate of event: 12/2005Type of contribution: Scientific book or monographJuan C. Pichel; Dora B. Heras; José C. Cabaleiro; Francisco F. Rivera. "The 8th International Symposium onParallel Architectures, Algorithms and Networks, ISPAN 2005". pp. 306 - 311. 12/2005.
27 Title of the work: A Load Balance Methodology for Highly Compute-Intensive Applications on Grids Basedon Computational ModelingDate of event: 11/2005Type of contribution: Scientific book or monographD. R. Martínez; J. L. Albín; J. C. Cabaleiro; T. F. Pena; F. F. Rivera. "The Second International Workshop onGrid Computing and its Application to Data Analysis, GADA'05". 11/2005.
28 Title of the work: A s-step variant of the double orthogonal series methodDate of event: 07/2005Type of contribution: Scientific book or monographJ. A. Alvarez-Dios; J. C. Cabaleiro; G. Casal. "The Sixth European Conference on Numerical Mathematicsand Advanced Applications, ENUMATH 2005". 07/2005.
acd0b7c45b7df0de5c5f9f0290f31c19
23
29 Title of the work: Modeling execution time of selected computation and communication kernels on GridsDate of event: 02/2005Type of contribution: Scientific book or monographM. Boullón; J. C. Cabaleiro; R. Doallo; P. González; D. Martínez; M. Martín; J. C. Mouriño; T. F. Pena; F. F.Rivera. "European Grid Conference, EGC2005". 02/2005.
30 Title of the work: Improving the Locality of the Sparse Matrix-Vector Product on Shared MemoryMultiprocessorsDate of event: 02/2004Type of contribution: Scientific book or monographJ. C. Pichel; D. B. Heras; J. C. Cabaleiro; F. F. Rivera. "12-th Euromicro Conference on Parallel, Distributedand Network based Processing (PDP 2004)". pp. 66 - 71. IEEE Computer Society, 02/2004.
31 Title of the work: A Grid-Enable Air Quality SimulationDate of event: 02/2003Type of contribution: Scientific book or monographJ. C. Mouriño; M. J. Martín; P. González; M. Boullón; J. C. Cabaleiro; T. F. Pena; F. F. Rivera; R. Doallo."First European Across Grids Conference". 02/2003.
32 Title of the work: Analytical Description of Locality for the Product of a Sparse Matrix by a Dense MatrixDate of event: 06/2002Type of contribution: Scientific book or monographD. B. Heras; F. F. Rivera; J. C. Cabaleiro. "2002 International Conference on Parallel and DistributedProcessing Techniques and Applications (PDPTA 2002)". pp. 178 - 184. 06/2002.
33 Title of the work: A Performance Visualization Tool for HPF and MPI Iterative SolversDate of event: 04/2002Type of contribution: Scientific book or monographV. Blanco; P. González; J. C. Cabaleiro; D. B. Heras; T. F. Pena; J. J. Pombo; F. F. Rivera. "16thInternational Parallel and Distributed Processing Sympossium. Workshop (PDSECA-2002)". IEEE ComputerSociety, 04/2002.
34 Title of the work: Performance Prediction for Parallel Iterative SolversDate of event: 04/2002Type of contribution: Scientific book or monographV. Blanco; P. González; J. C. Cabaleiro; D. B. Heras; T. F. Pena; J. J. Pombo; F. F. Rivera. "The 2002International Conference on Computational Science (ICCS2002)". 04/2002.
35 Title of the work: Analysis and Improvement of Data Locality for the Transposition of a Sparse MatrixDate of event: 09/2001Type of contribution: Scientific book or monographD. B. Heras; J. C. Cabaleiro; F. F. Rivera. "Parallel Computing 2001 (ParCo2001)". 09/2001.
36 Title of the work: Parallel Complete Remeshing for Adaptive SchemesDate of event: 09/2001Type of contribution: Scientific book or monographJ. J. Pombo; J. C. Cabaleiro; T. F. Pena. "Proc. 2001 Int. Conf. on Parallel Processing (ICPP) Workshops".pp. 73 - 78. IEEE Computer Society, 09/2001.
37 Title of the work: Parallel Implementation of wavelet Transforms on Distributed-Memory MulticomputersDate of event: 09/2001Type of contribution: Scientific book or monograph
acd0b7c45b7df0de5c5f9f0290f31c19
24
P. González; J. C. Cabaleiro; T. F. Pena. "Proc. 2001 Int. Conf. on Parallel Processing (ICPP) Workshops".pp. 45 - 50. IEEE Computer Society, 09/2001.
38 Title of the work: A Parallel Octree-based Scheme for Remeshing in Adaptive LoopsDate of event: 02/2001Type of contribution: Scientific book or monographJ. J. Pombo; T. F. Pena; J. C. Cabaleiro. "IASTED International Conference on Applied Informatics:Symposium on Advances in Computer Applications". pp. 513 - 518. 02/2001.
39 Title of the work: Parallel implementation of sparse approximate preconditioners on distributed--memorymulticomputersDate of event: 02/2001Type of contribution: Scientific book or monographP. González; T. F. Pena; J. C. Cabaleiro. "IASTED International Conference on Applied Informatics:Symposium on Networks, Parallel and Distributed Processing, and Applications". pp. 383 - 388. 02/2001.
40 Title of the work: Parallel sparse approximate preconditioners for the solution of large dense linear systemsDate of event: 12/2000Type of contribution: Scientific book or monographP. González; T. F. Pena; J. C. Cabaleiro. "WSES International Conference on Numerical Analysis andApplications". 12/2000.
41 Title of the work: Parallel Iterative Scheme for Solving BEM Systems Using Fast Wavelet TransformsDate of event: 09/2000Type of contribution: Scientific book or monographP. González; J. C. Cabaleiro; T. F. Pena. "Fifth International Conference on Computational StructuresTechnology". pp. 249 - 257. 09/2000.
42 Title of the work: A visualization tool for performance prediction of iterative methods in HPFDate of event: 06/2000Type of contribution: Scientific book or monographF. F. Rivera; J. J. Pombo; T. F. Pena; D. B. Heras; P. González; J. C. Cabaleiro; V. Blanco. "4thInternational Meeting on Vector and Parallel Processing -- Vecpar'2000". pp. 425 - 430. 06/2000.
43 Title of the work: A Performance Analysis Tool for Irregular Codes in HPFDate of event: 09/1999Type of contribution: Scientific book or monographV. Blanco; J. C. Cabaleiro; P. González; D. B. Heras; T. F. Pena; J. J. Pombo; F. F. Rivera. "Fifth EuropeanSGI/Cray MPP Workshop". pp. 25 - 25. 09/1999.
44 Title of the work: Modeling and Improving Locality for Irregular Problems: Sparse Matrix-Vector Product onCache Memories as a Case StudyDate of event: 04/1999Type of contribution: Scientific book or monographD. B. Heras; V. Blanco; J. C. Cabaleiro; F. F. Rivera. "7th International Conference on High PerformanceComputing and NetworkingEurope ({HPCN}99)". 04/1999.
45 Title of the work: Efficient sparse data distribution for the Conjugate Gradient on distributed shared memorysystemsDate of event: 06/1998Type of contribution: Scientific book or monographD. E. Singh; F. F. Rivera; J. C. Cabaleiro. "Proc. of VECPAR'98". pp. 693 - 698. 06/1998.
acd0b7c45b7df0de5c5f9f0290f31c19
25
46 Title of the work: Solving sparse triangular systems on distributed memory multicomputersDate of event: 01/1998Type of contribution: Scientific book or monographP. González; J. C. Cabaleiro; T. F. Pena. "6th EUROMICRO Workshop on Parallel and DistributedProcessing (PDP'98)". pp. 470 - 478. 01/1998.
47 Title of the work: Parallel implementation of a 3D Radon Transform algorithm for cone-beam reconstructionDate of event: 09/1997Type of contribution: Scientific book or monographJ. C. Cabaleiro; P. Sire; P. Grangeat. "International Workshop on Synthetic--Natural Hybrid Coding andThreeDimensional Imaging". pp. 249 - 252. 09/1997.
48 Title of the work: Solving Sparse Triangular Systems on the AP1000 MulticomputerDate of event: 11/1996Type of contribution: Scientific book or monographJ. C. Cabaleiro; T. F. Pena. "Sixth Parallel Computing Workshop (PCW'96)". 11/1996.
49 Title of the work: Principal Component Analysis on Vector ComputersDate of event: 09/1996Type of contribution: Scientific book or monographD. B. Heras; J. C. Cabaleiro; V. Blanco; P. Costas; F. F. Rivera. "II International Meeting On Vector andParallel Processing (VECPAR'96)". 09/1996.
50 Title of the work: A Self-Sorting FFT on the AP1000Date of event: 09/1995Type of contribution: Scientific book or monographM. Amor; M. J. Martín; D.B. Heras; V. Blanco; J. C. Cabaleiro; T. F. Pena; F. Argüello; F.F Rivera. "FourthParallel Computing Workshop (PCW'95)". pp. 171 - 180. 09/1995.
51 Title of the work: 3D Reconstruction of Macromolecules in MultiprocessorsDate of event: 1994Type of contribution: Scientific book or monographM. J. Ujaldón; R. Asenjo; J. C. Cabaleiro; J. M. Carazo; E. L. Zapata. "2nd EUROMICRO Workshop onParallel and Distributed Processing". 1, pp. 260 - 267. 1994.
52 Title of the work: Parallel Algorithm for Principal Components Based on Hotelling's Iterative ProcedureDate of event: 01/1993Type of contribution: Scientific book or monographJ. C. Cabaleiro; J. M. Carazo; E. L. Zapata. "EUROMICRO Workshop On Parallel and DistributedProcessing". 1, pp. 144 - 149. IEEE Computer Society Press, 01/1993.
acd0b7c45b7df0de5c5f9f0290f31c19
26
R&D management and participation in scientific committees
Scientific, technical and/or assessment committees
1 Committee title: Evaluador externo de proyectos de investigaciónAffiliation entity: Fundación CajaCanariasCity affiliation entity: Canary Islands, SpainStart-End date: 2015 - 2015
2 Committee title: Evaluador de convocatorias ICTS del CESGAAffiliation entity: Centro de Supercomputación deGalicia
Type of entity: State agency
City affiliation entity: Galicia, SpainStart-End date: 2011 - 2014
3 Committee title: Evaluador de proyectos de la ANEPAffiliation entity: Ministerio de Economía Industria yCompetitividad
Type of entity: State agency
City affiliation entity: SpainStart date: 2017
Organization of R&D activities
Title of the activity: 23rd European Conference on Parallel Computing, Euro-Par 2017Type of activity: Conferencia internacional Geographical area: Non EU InternationalConvening entity: Universidad de Santiago deCompostela
Type of entity: University
Start-End date: 28/08/2017 - 01/09/2017
Other achievements
Stays in public or private R&D centres
Entity: Centre d'Etudes Nucleaires de Grenoble Type of entity: Public Research BodyFaculty, institute or centre: LETI, Département SystèmesCity of entity: Grenoble, Rhône-Alpes, FranceStart-End date: 05/05/1995 - 24/10/1995Goals of the stay: Post-doctoralProvable tasks: Paralelización de algoritmos para la reconstrucción tridimensional de imágenes
Ministerio de Economía y Competitividad Secretaría de Estado de Investigación, Desarrollo e Innovación
Currículum
Nombre: Jesús Carretero Pérez Fecha: 15/12/2017
ATENCION: Deben firmarse al margen todas las hojas del currículum
Datos personales
Apellidos: Carretero Pérez Nombre: Jesús DNI: Fecha de nacimiento : Sexo: V
Situación profesional actual
Organismo: Universidad Carlos III de Madrid Facultad, Escuela o Instituto: Escuela Politécnica Superior Depto./Secc./Unidad estr.: Depto. de Informática Dirección postal: Avda. Universidad 30, 28911 Leganés, Madrid Teléfono (indicar prefijo, número y extensión): 91 624 9458 Fax: 91 624 9129 Correo electrónico: [email protected] Especialización (Códigos UNESCO): 330406, 330412, 330417 Categoria profesional: Catedrático de Universidad Fecha de inicio: Enero de 2002 Situación administrativa
X Plantilla Contratado Interino Becario Otras situaciones especificar: Dedicación A tiempo completo A tiempo parcial
Líneas de investigación
Sistemas distribuidos y paralelos, sistemas de almacenamiento paralelo, computación de altas prestaciones, sistemas de tiempo real, sistemas multimedia, gráficos e interfaces de usuario.
Formación Académica
Titulación Superior Centro Fecha Licenciatura en Informática Facultad de Informática 15/09/1989 Doctorado Centro Fecha Doctor en Informática Universidad Politécnica de
Madrid 10/05/1995
Actividades anteriores de carácter científico profesional
Puestos docentes desempeñados
Fecha
12/10/1989
01/02/1996
09/05/1997
01/02/2000
01/03/2002-actualidad
Contrato
Profesor Titular de Escuela Universitaria Interino
Profesor Titular de Universidad Interino
Profesor Titular de Universidad
Profesor Titular de Universidad
Catedrático de Universidad
Universidad
Politécnica de Madrid
Politécnica de Madrid
Politécnica de Madrid
Carlos III de Madrid
Carlos III de Madrid
Docencia en Grado e Ingeniería en Informática
Fecha Asignatura Titulación Universidad1989/1995 Sistemas Operativos Licenciatura en
Informática Politécnica de Madrid
1989/1995 Sistemas de Tiempo Real Licenciatura en Informática
Politécnica de Madrid
1995/1997 Sistemas Operativos Ingeniería en Informática
Politécnica de Madrid
1995/1997 Sistemas de Tiempo Real Ingeniería en Informática
Politécnica de Madrid
1998/2000 Sistemas Operativos Ingeniería en Informática
Politécnica de Madrid
2001/2005
Programación de sistemas en tiempo real
Ingeniería de Telecomunicación
UniversidadCarlosIIIdeMadrid
2001/2005
Programación de sistemas en tiempo real
Ingeniería en Informática
UniversidadCarlosIIIdeMadrid
2001/actualidad
Sistemas operativos Ingeniería en Informática
UniversidadCarlosIIIdeMadrid
2001/2007
Diseño de sistemas operativos
Ingeniería en Informática
UniversidadCarlosIIIdeMadrid
2002/2004
Sistemas de tiempo real Ingeniería en Informática
UniversidadCarlosIIIdeMadrid
Docencia en Postgrado y Doctorado
Puestos de gestión desempeñados
Fecha
01/02/2000-03/05/2005
01/02/2000–actualidad
Contrato
Comité de Informática de la universidad Carlos III de Madrid
Responsable del área de Arquitectura y Tecnología de Computadores de la Universidad Carlos III.
Universidad
Carlos III de Madrid
Carlos III de Madrid
2004/2009
Sistemas informáticos Ingeniería en Informática
UniversidadCarlosIIIdeMadrid
2004/2006
Sistemas operativos Ingeniería Técnica en Informática de Gestión
UniversidadCarlosIIIdeMadrid
2010/2011
Prácticas externas Ingeniería en Informática
UniversidadCarlosIIIdeMadrid
2011/2012
Sistemas de tiempo real Ingeniería en Informática
Fecha
01/10/95-01/06/97 01/09/97-01/06/98 01/10/98-01/06/99 01/10/00-01/06/06
01/10/05-01/06/06
01/10/06-actualidad
01/10/06-01/06/2012
01/10/10-actualidad
Asignatura
Sistemas Distribuidos Computer Networks
Sistemas Distribuidos Sistemas Operativos
Avanzados
Técnicas de alto Rendimiento en el Diseño de Procesadores
Sistemas Operativos
Avanzados
Seminario de Sistemas Distribuidos, Multimedia y
Seguros I y II.
Diseño y Evaluación de Arquitecturas de Computación
Titulación
Doctorado en Informática Master in Computer
Engineering Doctorado en Informática Doctorado en Ingeniería
Informática Doctorado en Ingeniería
Informática Máster en Ciencia y
tecnología Informática
Máster en Ciencia y tecnología Informática
Máster en Ingeniería
Informática
Universidad
Politécnica de Madrid Northwestern University Politécnica de Madrid Carlos III de Madrid Carlos III de Madrid Carlos III de Madrid
Carlos III de Madrid
Carlos III de Madrid
Carlos III de Madrid
01/04/2005–01/06/2012
01/03/2005-01/06/2012
01/03/2005-01/06/2012
Director del Master en Administración y Gestión de
Sistemas Informáticos
Director de la titulación de Ingeniería Informática
Subdirector Primero de la Escuela Politécnica Superior
Carlos III de Madrid
Carlos III de Madrid
Idiomas (R = regular, B = bien, C = correctamente)
Idioma Habla Lee Escribe Inglés B B B
Participación en Proyectos de I+D financiados en Convocatorias públicas.
Título del proyecto: Multiple Access to eDElivery (MADE)". INEA/CEF/ICT/A2016/1278042. Organismo financiador: Unión Europea Duración , January 2017 – January 2018. Instituciones participantes: University Carlos III of Madrid, EDICOM, LMT, UPV, eConnect International B.V, Aksesspunkt Norge AS, Officient A/S, Unimaze ehf, BIZbrains A/S Investigador principal: Jesús Carretero Número de investigadores UC3M: 9 Presupuesto: 529,610.79 euros (UC3M: 100.000 Euros)
Título del proyecto: . Integrating the eIdentification in European cloud platforms according to the eIDAS Regulation (eID@Cloud). INEA/CEF/ICT/A2016/1271635, 2017 Organismo financiador: Unión Europea Duración , May 2017 – May 2018. Instituciones participantes: University Carlos III of Madrid, EDICOM, LMT, UPV, eConnect International B.V, Aksesspunkt Norge AS, Officient A/S, Unimaze ehf Investigador principal: Jesús Carretero Número de investigadores UC3M: 10 Presupuesto: 748,003.77 euros (UC3M: 143,039.2)
Título del proyecto: GOVEIN: European eInvoicing Project: implementation of the European electronic invoice within the Public Health area. INEA/CEF/ICT/A2015/1129678, 2017 Organismo financiador: Unión Europea Duración , Septiembre 2016 – Noviembre 2017. Instituciones participantes:. EDICOM, EMC, UC3M, UPV, UVEG, UNICAL, UVT, BME, LMT CHICN, Abbott, Werfenlife, DTHFT, UMCG, IK, HEALTHLOGISTICS, BME, LNWH Trust, CHAI, Teleflex Investigador principal UC3M: Jesús Carretero Número de investigadores UC3M: 5 Presupuesto: 770.249 € (UC3M 30,450.86 € )
Título del proyecto: BIGHPC: Towards Unification Of HPC And Big Data Paradigms. TIN2016-79637-P, 2017 Organismo financiador: Ministerio de Economía y Competitividad. Duración , Diciembre 2016 – Diciembre 2019.
Instituciones participantes: Universidad Carlos III de Madrid. Investigador principal: Jesús Carretero y Félix García Número de investigadores: 16 Presupuesto: 168.000 euros Título del proyecto: REPHRASE: Refactoring Parallel Heterogeneous Resource-Aware Applications. Organismo financiador: Unión Europea Duración , Abril 2015 – Abril 2018. Instituciones participantes: The University of St Andrews, IBM Israel and Science Technology Ltd., Software Competence Centre, University Carlos III of Madrid, CIBERSAM, The University of Pisa, EvoPro Innovation, Programming Research, The University of Torino. Investigador principal: Kevin Hammond (UC3M: Jose D. Garcia) Número de investigadores UC3M: 8 Presupuesto: 3.574.027 € (UC3M) 355.416 € Título del proyecto: NESUS: Network for Sustainable Ultrascale Computing Systems. COST Action IC-1305. 2014 Organismo financiador: Unión Europea Duración , Marzo 2014 – Marzo 2018. Instituciones participantes: Centros de Investigación de 39 países de Europa, más Rusia, EEUU, Canada, Mexico, Colombia y Australia. Investigador principal: Jesús Carretero Número de investigadores: 182 Presupuesto: 756.000 euros Título del proyecto: Técnicas de gestión escalable de datos para High-End Computing Systems Organismo financiador: Ministerio de Economía y Competitividad. Duración , Enero 2014 – Diciembre 2016. Instituciones participantes: Universidad Carlos III de Madrid. Investigador principal: Jesús Carretero y Félix García Número de investigadores: 18 Presupuesto: 125.000 euros Título del proyecto: CLARISSE:Cross-Layer Abstractions and Run-time for I/O Software Stack of Extreme-scale systems. PIOF-GA-2012-328582 Organismo financiador: Unión Europea Duración , septiembre 2013- septiembre 2016 Empresas participantes: Universidad Carlos III de Madrid, Argonnne National Labs (Chicago, USA)
Investigador principal: Jesús Carretero Número de investigadores: 2 Presupuesto: 352.823 euros Título del proyecto: Rengineering and Enabling Performance and poweR of Applications. REPARA. EU-FP7-ICT-2013-10. Organismo financiador: Unión Europea Duración: Octubre 2013- Octubre 2016 Empresas participantes: Universidad Carlos III de Madrid, HSR Rapperswil, Technische Universität Darmstadt, University of Szeged, Evopro Innovation, Ixion, University of Pisa. Investigador principal: José Daniel García. Número de investigadores: 42 Presupuesto: 2.671.000 euros Título del proyecto: NIMBO: juegos distribuidos. Ref: Subprograma IMPACTO Organismo financiador: MINISTERIO DE CIENCIA E INNOVACIÓN Duración , septiembre 2010- junio 2013 Empresas participantes: Universidad Carlos III de Madrid, Pyxel Arts. Investigador principal: Jesús Carretero Número de investigadores: 10 Presupuesto: 328.300 euros Título del proyecto: Red de Computación de Altas Prestaciones sobre Arquitecturas Paralelas Heterogéneas (CAPAP-H). Ref: TIN2007-29664-E, TIN2009-08058-E, TIN2011-15734-E Organismo financiador: MINISTERIO DE CIENCIA E INNOVACIÓN Duración , Enero 2007- Diciembre 2011 Empresas participantes: . Investigador principal: Diego Llanos Número de investigadores: > 180 Presupuesto: 123.400 euros Título del proyecto: Técnicas Escalables De Entrada/Salida En Entornos Distribuidos Y De Computación De Altas Prestaciones, Ref: TIN2010-16497. Organismo financiador: MINISTERIO DE CIENCIA E INNOVACIÓN Duración , Enero 2010- Diciembre 2011 Empresas participantes: . Investigador principal: Jesús Carretero Número de investigadores: 22 Presupuesto: 225.600 euros
Título del proyecto: FSCLOUDS: Sustainable Federated Storage Clouds for Massive Data Sharing Communities, Ref: TIN2010-12056-E Organismo financiador: MINISTERIO DE CIENCIA E INNOVACIÓN Duración , Enero 2011- Diciembre 2011 Empresas participantes: . Investigador principal: Florin Isaila Número de investigadores: 12 Presupuesto: Título del proyecto: SONET-CLOUDS: Leveraging social networks in the design of energy-aware dependable largescale distributed clouds. Ref: TIN2010-09953-E. Organismo financiador: MINISTERIO DE CIENCIA E INNOVACIÓN Duración , Enero 2010- Diciembre 2010 Empresas participantes: . Investigador principal: Florin Isaila Número de investigadores: 12 Presupuesto: Título del proyecto: Red Española de E-Ciencia. Ref: TIN2007-63092 Organismo financiador: MINISTERIO DE CIENCIA E INNOVACIÓN Duración , Enero 2007- Diciembre 2010 Empresas participantes: . Investigador principal: Vicente Hernández Número de investigadores: > 1.000 Presupuesto: 328.590 Título del proyecto: Nuevas técnicas de almacenamiento escalable en computación de altas prestaciones. Ref: TIN2007-63092 Organismo financiador: Spanish Ministry of Education & Science Duración , 2007-2010 Empresas participantes: . Investigador principal: Jesús Carretero Pérez Número de investigadores: 20 Presupuesto: 273.460 Título del proyecto: “Técnicas de optimización de la entrada/salida en aplicaciones para entornos de computación de altas prestaciones. 2007/04057/001"
Organismo financiador: Comunidad Autónoma de Madrid – Universidad Carlos III de Madrid Duración , since: january de 2007 to: january de 2008 Empresas participantes: . Investigador Responsable en la Universidad Carlos III: Jesús Carretero Pérez Número de investigadores: 15 Presupuesto: 14000 euros Título del proyecto: “Técnicas de optimización y fiabilidad para sistemas de Entrada/salida escalables de altas prestaciones UC3M –INF-05-003" Organismo financiador: Comunidad Autónoma de Madrid – Universidad Carlos III de Madrid Duración , since: january de 2006 to: january de 2007 Empresas participantes: . Investigador Responsable en la Universidad Carlos III: Jesús Carretero Pérez Número de investigadores: 14 Presupuesto: 11500 euros Título del proyecto: “Sistema Modular de Control de Sistemas Generales para uso en Aviones”. "FIT-370200-2004-9" Organismo financiador: Ministerio de Industria Duración , since: january de 2004 to: january de 2007 Empresas participantes: "EADS-CASA e INDRA". Investigador Responsable en la Universidad Carlos III: Jesús Carretero Pérez Número de investigadores: 5 Título del proyecto: Red Temática para la Coordinación de Actividades Middleware en Grids . Acción Especial. Acción Complementaria de investigación TIN2005-25849-E Organismo financiador: Spanish Ministry of Education & Science Partners:: 36 centros Duración : since october de 2006 hasta october de 2008 Investigador principal: Ignacio Martín Llorente (Universidad Complutense de Madrid) Número de investigadores: 177 Presupuesto: 40000 euros Título del proyecto: Red Temática para la Coordinación de Actividades Middleware en Grids . Acción Especial. TIC2002-12422-E Organismo financiador: Spanish Ministry of Education & Science Partners:: 18 Organismos Públicos y 3 Empresas Duración : since june de 2004 hasta june de 2006 Investigador principal: Ignacio Martín Llorente (Universidad Complutense de Madrid)
Número de investigadores: 64 Presupuesto: 27000 euros Título del proyecto: Técnicas de almacenamiento de altas prestaciones, fiables y seguras en entornos GRID Ref: TIC2003-01730
Organismo financiador: Spanish Ministry of Education & Science Partners:: Universidad Carlos III de Madrid Duración , since: january-2004 to: december-2004 Investigador principal: Félix García Carballeira Número de investigadores: 10 Presupuesto: 13.800 euros Título del proyecto: Almacenamiento de altas prestaciones en entornos grid. TIN2004-02156 Organismo financiador: Spanish Ministry of Education & Science Duración , since: january de 2005 to: december de 2007 Investigador principal: Félix García Carballeira Número de investigadores: 10 Presupuesto: 146280 euros Título del proyecto: Técnicas de aumento de prestaciones en clusters de servidores Web distribuidos y cooperativos Organismo financiador: Comunidad de Madrid – Fondo Europeo de Desarrollo Regional (UE). Partners:: Universidad Carlos III de Madrid. Duración , since: july-2003 to: july-2004 Investigador principal: Jesús Carretero Pérez Número de investigadores: 8
Título del proyecto: Ayuda para la Preparación de la Propuesta "Quantitative Tools for System Management and Dependability" Organismo financiador: Universidad Carlos III de Madrid. Partners:: Universidad Carlos III de Madrid. Duración , since: 2003 to: 2004 Investigador principal: Jesús Carretero Pérez Número de investigadores: 2
Título del proyecto: Ayuda para la Preparación de la Propuesta MUSE: Modular Utilities management System Evaluation programme Organismo financiador: Universidad Carlos III de Madrid.
Partners:: Universidad Carlos III de Madrid. Duración , since: 2003 to: 2004 Investigador principal: Jesús Carretero Pérez Número de investigadores: 2
Título del proyecto: Ayuda para la Organización de las XIV Jornadas de Paralelismo Organismo financiador: Universidad Carlos III de Madrid. Partners:: Universidad Carlos III de Madrid. Duración , since: 2003 to: 2003 Investigador principal: Jesús Carretero Pérez Número de investigadores: 2
Título del proyecto: Acción especial para la Organización de las XIV Jornadas de Paralelismo Organismo financiador: Spanish Ministry of Education & Science Partners:: Universidad Carlos III de Madrid. Duración , since: 2003 to: 2003 Investigador principal: Jesús Carretero Pérez Número de investigadores: 2 Título del proyecto: Técnicas de Distribución y Paralelización de E/S en Entornos de Red con Almacenamiento de Altas Prestaciones: Aplicación a Servidores HTTP. Ref: TIC2000-0472. Organismo financiador: CICYT. Spanish Ministry of Education & Science. Partners:: Universidad Carlos III de Madrid, Universidad Politécnica de Madrid y Universidad Politécnica de Valencia. Duración , since: 2000 to: 2003. Coordinador: Jesús Carretero. Investigador Responsable del subproyecto C01: Jesús Carretero. Número de investigadores: 18 Título del proyecto: Técnicas de Identificación de Personas a Través de Internet mediante Reconocimiento de Voz. Organismo financiador: Instituto Duque de Ahumada. Partners:: Universidad Carlos III de Madrid. Duración , since: 2001 to: 2001. Investigador principal: Jesús Carretero. Número de investigadores: 3 Título del proyecto: Sistema de Ficheros Paralelo Basado En Servidores NFS. Ref: 07T/0013/2001
Organismo financiador: Comunidad de Madrid – Fondo Europeo de Desarrollo Regional (UE). Partners:: Universidad Carlos III de Madrid. Duración , since: november-2001 to: november-2002 Investigador principal: Félix García Carballeira Número de investigadores: 6
Título del proyecto: Técnicas De Cifrado, Compresión De Datos Y Almacenamiento De Altas Prestaciones Para La Identificación De Personas A Través De Internet Mediante Reconocimiento De Voz.
Organismo financiador: Instituto Duque de Ahumada. Partners:: Universidad Carlos III de Madrid. Duración , since: october-2001 to: october-2002 Investigador principal: Félix García Carballeira Número de investigadores: 6 Título del proyecto: RAIL: Preventive Maintenance for Railway Infrastructures Organismo financiador: European Union Partners:: Consorcio de universidades y empresas europeas Duración , since: 2000 to: 2002 Investigador principal: Jesús Carretero Número de investigadores: 27 Título del proyecto: Acción Especial para la Preparación del Contrato Final del Proyecto RAIL. Ref: TIC1999-1617-E.
Organismo financiador: CICYT. Spanish Ministry of Education & Science. Partners:: Universidad Politécnica de Madrid. Duración , since: 1999 to: 1999. Investigador principal: Jesús Carretero. Número de investigadores: 3. Título del proyecto: Técnicas de Mantenimiento Basado en la Fiabilidad para la Infraestructura y Logística del Ferrocarril. Ref: TIC2000-1995-CE. Organismo financiador: CICYT. Spanish Ministry of Education & Science. Partners:: Universidad Politécnica de Madrid. Duración , since: 2001 to: 2003. Investigador principal: Jesús Carretero. Número de investigadores: 8
Título del proyecto: Sistemas de Ficheros Distribuidos y Paralelos Tolerantes a Fallos y con Optimización de Escrituras Organismo financiador: CICYT. Spanish Ministry of Education & Science Partners:: Universidad Politécnica de Madrid Duración , since: 1997 to: 2000 Investigador principal: Pedro de Miguel Número de investigadores: 8 Título del proyecto: High-Performance Parallel I/O for Massivelly Parallel Machines. Organismo financiador: National Science Foundation (USA) Partners:: Northwestern University y Universidad Politécnica de Madrid Duración , since: 1997 to: 1998 Investigador principal: Alok Choudhary Número de investigadores: 5 Título del proyecto: GPMIMD: General Purpose MIMD Machine Organismo financiador: European Union Partners:: Consorcio de empresas y universidades europeas Duración , since: 1991 to: 1995 Investigador principal: Pedro de Miguel Número de investigadores: Título del proyecto: GPMIMD: Datos e Instrucciones Múltiples de Propósito general Organismo financiador: CICYT. Spanish Ministry of Education & Science Partners:: Universidad Politécnica de Madrid Duración , since: 1993 to: 1996 Investigador principal: Pedro de Miguel Número de investigadores: 6 Título del proyecto: Técnicas de Paralelismo en la adquisición, visualización, tratamiento y almacenamiento de imágenes Organismo financiador: CICYT. Spanish Ministry of Education & Science Partners:: Universidad Politécnica de Madrid Duración , since: 1993 to: 1996 Investigador principal: Pedro de Miguel Número de investigadores: 12 Título del proyecto: Planificación de procesos en sistemas informáticos con restricciones de tiempo real críticas.
Organismo financiador: CICYT. Spanish Ministry of Education & Science Partners:: Universidad Politécnica de Madrid Duración , since: 1989 to: 1992 Investigador principal: J. A. de la Puente Número de investigadores: 7 Título del proyecto: Técnicas de Toleráncia a Fallos en un Sistema Operativo Distribuido 1990–1991 Organismo financiador: Comunidad Autónoma de Madrid Partners:: Universidad Politécnica de Madrid Duración , since: 1991 to: 1992 Investigador principal: Sergio Arévalo Número de investigadores: 3
Participación en Proyectos de I+D Financiados por Empresas.
Título del proyecto: Proyecto para la Investigación, sobre la extracción y explotación de los datos que se registran en los sistemas de control y gestión de la maquinaria móvil que se utiliza en el mantenimiento de las infraestructuras ferroviarias. Ref: JM/RS 3.9/ 1500.0011/6-00000 Organismo financiador: ADIF Partners: Universidad Carlos III de Madrid. Duración: mayo 2010 –junio 2012 Responsable: Jesús Carretero Número de investigadores: 4 Presupuesto: 124,500,00 euros Título del proyecto: Proyecto para la Investigación sobre la aplicación de las TIC a la innovación de las diferentes infraestructuras correspondientes a las instalaciones de electrificación y suministro de energía (SIRTE). Ref: JM/RS 3.9/1500.0009/0-00000 Organismo financiador: ADIF Partners: Universidad Carlos III de Madrid. Duración: Septiembre 2010 –diciembre 2013 Responsable: Jesús Carretero Número de investigadores: 6 Presupuesto: 355,000,00 euros Título del proyecto: Estudio y realización de programas de cálculo de pórticos rígidos de catenaria (CALPOR) y de Sistemas de simulación de montaje de agujas aéreas de línea aérea de contacto (SIA). 2007/04058/001 Organismo financiador: ADIF Partners:: Universidad Carlos III de Madrid. Duración , since el 07/02/2007 al 07/02/2009 Responsable: Jesús Carretero Número de investigadores: 6 Presupuesto: 466.681,00 euros Título del proyecto: HIDDRA: Highly Independent Data Distribution and Recovery Architecture. Organismo financiador: European Space Agency - INSA Partners:: Universidad Carlos III de Madrid. Duración: 2 años Responsable: Jesús Carretero Número de investigadores: 5 Presupuesto: 18.000 euros
Título del proyecto: Estudio y realización de programas de cálculo de pórticos rígidos de catenaria (CALPOR) y de Sistemas de simulación de montaje de agujas aéreas de línea aérea de contacto (SIA). 2007/04058/001 Organismo financiador: ADIF Partners:: Universidad Carlos III de Madrid. Duración , since el 07/02/2007 al 07/02/2009 Responsable: Jesús Carretero Número de investigadores: 6 Presupuesto: 466.681,00 euros Título del proyecto: Proyecto de investigación relativo a la innovación en materia de accesibilidad a las T.I.C Organismo financiador: I.B.M. Partners:: Universidad Carlos III de Madrid. Duración , since: june 2006 to: september 2006 Responsable: Jesús Carretero Número de investigadores: 5 Título del proyecto: Programa de Investigación en Sistemas de Prueba y Prototipado para Aviónica. Organismo financiador: EADS CONSTRUCCIONES AERONAUTICAS S.A. C.A.S.A. Partners:: Universidad Carlos III de Madrid. Duración , since: 2003 to: 2004 Responsable: Jesús Carretero Número de investigadores: 4 Título del proyecto: Applying Windows CE. NET to avionic industry. Organismo financiador: MICROSOFT RESEARCH LTD. Partners:: Universidad Carlos III de Madrid. Duración , since: 2003 to: 2004 Responsable: Jesús Carretero Número de investigadores: 3 Título del proyecto: Planificación del mantenimiento preventivo de la infraestructura ferroviaria mediante una metodología basada en la fiabilidad y seguridad (RCM) Organismo financiador: FUNDACIÓN DE LOS FERROCARRILES ESPAÑOLES Partners:: Universidad Carlos III de Madrid. Duración , since: july 2002 to: july 2003 Responsable: Jesús Carretero Número de investigadores: 3
Título del proyecto: Desarrollo e implementación del sistema RAIL - RCM en RENFE.
Organismo financiador: RENFE. Partners:: Universidad Carlos III de Madrid. Duración , since: 2002 to: 2003 Responsable: Jesús Carretero Número de investigadores: 5 Título del proyecto: Planificación del mantenimiento preventivo de la infraestructura ferroviaria mediante una metodología basada en la fiabilidad y seguridad (RCM)
Organismo financiador: RENFE. Partners:: Universidad Carlos III de Madrid. Duración , since: 2002 to: 2003 Responsable: Jesús Carretero Número de investigadores: 6 Título del proyecto: Metodología para el Desarrollo de las Aplicaciones de Cálculos de Pórticos (CR-200) y de Agujas. Organismo financiador: RENFE. Partners:: Universidad Carlos III de Madrid. Duración , since: 2002 to: 2003 Responsable: Jesús Carretero Número de investigadores: 5 Título del proyecto: Mejora de la aplicación CALPOR (Cálculo de Pórticos Rígidos) Organismo financiador: Fundación General de la Universidad Politécnica de Madrid - RENFE Partners:: Universidad Carlos III de Madrid Duración : January de 2001 hasta january de 2002 Investigador principal: Félix García Carballeira Participantes: 3 Presupuesto: 3.455,82 euros Título del proyecto: Sistemas de Información en Estaciones Ferroviarias.
Organismo financiador: ISOLUX-WATT S.A.. Partners:: Universidad Carlos III de Madrid. Duración , since: 2002 to: 2003 Responsable: Jesús Carretero Número de investigadores: 4
Título del proyecto: Interfaces Gráficas de Usuario para Sistemas de Tiempo Real. Organismo financiador: Genasys Partners:: Genasys y Construcciones Aeronáuticas, S.A. Duración , since: March de 2000 to: July de 2000 Investigador principal: Jesús Carretero Número de investigadores: 3 Título del proyecto: Design and Development of a Parallel File System for Windows 2000. Organismo financiador: Microsoft Research. Partners:: Universidad Carlos III de Madrid. Duración , since: 2001 to: 2003 Investigador principal: Jesús Carretero Pérez Número de investigadores: 4 Título del proyecto: Middleware de Comunicaciones para el avión P-3 Orion MP/ASW. Organismo financiador: Álamo sistemas-EADS (CASA). Partners:: Universidad Carlos III de Madrid. Duración , since: 2000 to: 2001. Investigador principal: Jesús Carretero. Número de investigadores: 5. Título del proyecto: Sistema De Mailing Para La Herramienta De Fidelización Fidweb.
Organismo financiador: Seditel Paris, France. Partners:: Universidad Carlos III de Madrid. Duración , since: 2000 to: 2001 Responsable: Jesús Carretero Número de investigadores: 3
Título del proyecto: Consultoría y Asistencia Técnica para la Seguridad, Administración y Rendimiento de los Sistemas Informáticos del Ayuntamiento de Leganés.
Organismo financiador: Ayuntamiento de Leganés. Partners:: Universidad Carlos III de Madrid. Duración , since: 2001 to: 2002 Responsable: Jesús Carretero Número de investigadores: 4
Publicaciones o Documentos Científico-Técnicos
( CLAVE: L = libro completo, CL = capítulo de libro, A = artículo, R = “review”, E = editor,
S = Documento Científico-Técnico restringido. ) Libros
1. Javier García Blas, Florin Isaila, Jesús Carretero. A Multi-Tier Cached I/O Architecture for
Massively Parallel Supercomputers, LAP LAMBERT Academic Publishing GmbH & Co, May, 2010.
2. Soledad Escolar and Jesús Carretero. Generic architecture for sensor network applications. LAP LAMBERT Academic Publishing GmbH & Co. November, 2010.
3. Rosa Filgueira, David E. Singh and Jesús Carretero. Dynamic optimization techniques to enhance scalability and performance of MPI-based applications,. Lambert Academic Publishing, December, 2010.
4. Félix García, Jose Daniel García, David E. Singh, Jesús Carretero. Problemas de Estructura de Computadores. Thomson, 2007.
5. J. Carretero, F. García, P. De Miguel y F. Pérez. “Sistemas Operativos, 2ª edición”, McGraw-Hill, 2007, ISBN:978-84-481-5643-5.
6. A. Calderón Mateos ,J. Carretero Pérez ,J. Fernández,F. García Carballeira ,J.M. Pérez Lobato. “Fundamentos de programación”. Thomson, 2007. ISBN: 8497322916. Pp. 352.
7. J. D García, J. M. Pérez, L.M. Sánchez, J. Carretero, F. García. ”Problemas resueltos de programación en C++”. Thomson, 2004. ISBN: 8497322916. Pp. 352.
8. F. Perez, J. Carretero, F. García. “Problemas de Sistemas Operativos: De la base al diseño”, McGraw-Hill Iberoamericana, 2003. ISBN: 84-481-3991-7. L
9. S. Rodríguez, F. Pérez, J. Carretero, et al. , “ Programación de aplicaciones Web”, Thompson-Paraninfo, 2003, Madrid, España. ISBN 84-9732-181-2. L
10. J.M. Pérez Menor, J. Carretero, F. García, J.M Pérez Lobato, “Problemas resueltos de programación en Lenguaje Java”, Thompson-Paraninfo, 2002, Madrid, España. ISBN 84-9732-176-6. L
11. F. García , J.M. Pérez, J. Carretero, J. Fernández y A. Calderón, “Problemas resueltos de programación en Lenguaje C”, Thompson-Paraninfo, 2002, Madrid, España. ISBN 84-9732-102-2. L
12. F. García , J. Carretero, J. Fernández y A. Calderón, “El lenguaje de programación C: Diseño e implementación de programas”, Pearson Educación, 2002, Madrid, España. ISBN 84-205-3178-2. L
13. J. Carretero, F. García, P. De Miguel, F. Pérez, Sistemas Operativos: una visión aplicada, McGraw-Hill Iberoamericana, 2001. L
14. J. Carretero y otros, Descubra Internet, Prentice Hall, 2000. L 15. J. Carretero, F. García, P. De Miguel, F. Pérez Introducción a los Sistemas Operativos Servicio
de Publicaciones de la Facultad de Informática Universidad Politécnica de Madrid (1998). Pp. 312 L
16. J. Carretero, J. Zamorano, S. Rodríguez, S. Graña Fundamentos de Sistemas de Tiempo Real Servicio de Publicaciones de la Facultad de Informática Universidad Politécnica de Madrid (1996). ISBN 84-85632-80-X. Pp. 214 L
17. P. de Miguel, S. Arévalo,J. Carretero, F. Rosales Problemas de Sistemas Operativos. Séptima Edición Servicio de Publicaciones de la Facultad de Informática Universidad Politécnica de Madrid (1991-1999). ISBN 84-85646-44-4. Pp. 278 L
18. J. Carretero, J. L. Redondo, J. Zamorano Desarrollo de Software para sistemas empotrados. PRACTICAS Servicio de publicaciones de la Facultad de Informática Universidad Politécnica de Madrid (1992). ISBN 84-85646-46-0. Pp. 265 L
Capítulos de libros
1. Florin Isaila, Javier Garcia, and Jesús Carretero. Scalable Storage I/O Software for Blue Gene Architectures. High-Performance Computing on Complex Environments. Emmanuel Jeannot (Editor), Julius Zilinskas (Editor). WILEY. ISBN: 978-1-118-71205-4. July 2014. Pages: 135-145.
2. Soledad Escolar, Jesus Carretero and Javier Fernandez . Survey of the State-of-the-Art in Flash-based Sensor Nodes. Flash Memories, InTech , 978-953-307-2, 125-148, September, 2011.
3. A general parallel I/O architecture for massively parallel supercomputers, Javier García Blas, Florin Isaila, Jesús Carretero, ACACES 2009, Terrasa, Spain, July, 2009, Academia Press, 978 90 382 14, 277-280.
4. A view-based approach for collective I/O operations, Javier García Blas, Florin Isaila, Jesús Carretero, Transnational Access Meeting 2008, Bologna, Italy, June, 2008.
5. Javier García Blas, Florin Isaila, Jesús Carretero, A general parallel I/O architecture for massively parallel supercomputers, ACACES 2009, Terrasa, Spain, July, 2009, Academia Press, 978 90 382 14, 277-280.
6. Javier García Blas, Florin Isaila, Jesús Carretero, A view-based approach for collective I/O operations, HPCE Transnational Access Meeting 2008, Bologna, Italy, June, 2008.
7. M. S. Pérez, J. Carretero, F. García, J. M. Peña, V. Robles. “A Multiagent Infrastructure for Data-Intensive Grid Applications”. Capítulo del libro “Parallel/Distributed Processing with Applications” de Kluwer Academics. 2004.
8. J.L. Antonakos, J. Mansfield, Programación estrucuturada en C, Prentice Hall, 1997, Autor del Capítulo 10 “Aspectos Avanzados”, ISBN: 84-89660-23-9. CL.
9. M. Casares, J. Fernández, E. Chicharro, F. García, J. Carretero. “Evaluación de ORB para CORBA: Un Estudio Comparativo”. Informes Técnicos Departamento de Informática. UC3M-TR-CS-2001-02. Universidad Carlos III. Volumen 1: 1997-2001. ISBN: 84-699-6733-9.
10. J. Carretero, F. García, D. Sevilla. “Nuevas características de CORBA 3.0”. Autores: Henning y Vinoski, “Programación Avanzada para CORBA usando C++. Capítulo 23”. Pearson Educación, 2001.
Edición de libros
1. Proceedings of the First International Conference on Ultrascale Computing Systems (NESUS 2014). Editorsd: Jesus Carretero and Javier Garcia-Blas, Oporto, Portugal. AUGUST 2014. ISBN: ???
2. HPCC, ISPA, MUE ???
3. Proceedings of the 2008 International Conference on Parallel and Distributed Techniques and Applications, PDPTA 2007, Volumes I and II, Editors: Hamid R. Arabnia, Jesus Carretero et al., WorldComp’08 , Las Vegas, Nevada, USA, July , 2008.
4. Proceedings of the 2007 International Conference on Parallel and Distributed Techniques and Applications, PDPTA 2007, Volumes I and II, Editors: Hamid R. Arabnia, Sanjay Ahuja, Jesus Carretero et al., WorldComp’07, June 25-28, Las Vegas, Nevada, USA, 2007.
5. 5th World Multi-Conference on Systemics, Cybernetics and Informatics. Proceedings, Vol. XII, Editors: Nagib Callaos, Antonio L. Teixeira, Kim Wong and Jesus Carretero. Orlando, USA, Jul, 2001. Pp. 339-344.
6. XIV Jornadas de Paralelismo. Actas. Editores: J. Carretero, F. García y O. Pérez, Universidad Carlos III de Madrid, septiembre de 2003, p. 560, ISBN: 84-89315-34-5.
Edición de Revistas
1. Jesus Carretero, Javier Garcia-Blas, Koji Nakano and Peter Mueller. Concurrency And
Computation: Practice And Experience. Volume 29, Issue 24, 25 December 2017 2. Jesus Carretero, Raimondas Čiegis, Emmanuel Jeannot, Laurent Lefevre, Gudula Rünger,
Domenico Talia,Julius Žilinskas. Concurrency and Computation: Practice and Experience. Volume 28, Issue 3 10 March 2016.
3. Jesus Carretero, Javier Garcia-Blas, Raimondas Ciegis. The Journal of Supercomputing. Volume 72, Issue 11, November 2016.
4. Jesus Carretero, Validmir Voevodin, Jack Dongarra, SUPERCOMPUTING FRONTIERS AND INNOVATIONS Journal.Vol 2. September 2015.
5. Helen Karatza, Jesus Carretero. Simulation Modelling Practice and Theory. Vol 58, Part 1.November 2015
6. Jesus Carretero & Javier Garcia Blas & Sandra Gesing. Editors of the Special Issue "Cloud for Health". Scalable Computing: Practice and Experience . January 2015. ISSN: January, 2015, 1895-1767.
7. Jesus Carretero & Javier Garcia Blas. Editors of the Special Issue "Special issue on MPI optimizations". International Journal of High Performance Computing Applications . November 2014; vol. 28, SAGE. ISSN: 1094-3420.
8. Jesus Carretero & Javier Garcia Blas . Editors of the Special Issue "Cloud computing: platforms and solutions". Cluster Computing Journal. Springer. December 2014, Volume 17, Issue 4. ISSN: 1386-7857.
9. Jesús Carretero & Félix García. Editors of Special Issue on Heterogeneous Architectures and HPC. Computer & Electrical Engineering Journal. Elsevier. 2014. 0045-7906.
10. Jesús Carretero & Fco. Javier García Blas. Editors of the Special Issue on Cloud computing: platforms and solutions. Cluster computing: The Journal of Networks, Software Tools and Applications. Springer. 2013. ISSN: 1386-7857.
11. Jesús Carretero & Raffaele Montella. Editors of the Special Issue on Novel parallel and distributed computing paradigms. New Generation Computing Journal. Springer. 2013. 0288-3635.
12. Jesús Carretero & . Laurence T. Yang. Editors of the Special Issue on Parallel and Distributed Processing with Applications. Int. Journal of Parallel Programming. Springer. 2013. 0885-7458.
13. Jesús Carretero & Jose D. García. Editors of Special Issue on The Internet of Things. Personal and Ubiquitous Computing Journal. Springer.. 2013. ISSN: 1617-4909.
Publicaciones en Revistas Internacionales
1. “A data-aware scheduling strategy for workflow execution in clouds,” F. Marozzo, F. Rodrigo Duro, J. Garcia Blas, J. Carretero, D. Talia, and P. Trunfio, Concurrency and computation: practice and experience, vol. 29, iss. 24, p. e4229–n/a, 2017. e4229 cpe.4229
2. “Algorithms and applications towards the convergence of high-end data-intensive and computing systems,” J. Carretero, J. Garcia-Blas, K. Nakano, and P. Mueller, Concurrency and Computation: Practice and Experience, p. e4300–n/a, 2017. e4300 cpe.4300
3. “Virtual environments and advanced interfaces,” D. Economou, M. Mentzelopoulos, N. Georgalas, J. Carretero, and J. Garcia-Blas, Personal and ubiquitous computing, 2017.
4. “FedIDS: A Federated Cloud Storage Architecture and Satellite Image Delivery Service for Building Dependable Geospatial Platforms,” J. Gonzalez, A. Diaz-Perez, V. Sosa, and J. Carretero, International journal of digital earth (tjde), 2017.
5. “FUX-Sim: Implementation of a fast universal simulation/reconstruction framework for X-ray systems,” M. Abella, E. Serrano, J. Garcia-Blas, I. García, C. de Molina, J. Carretero, and M. Desco, Plos one, vol. 12, iss. 7, pp. 1-22, 2017.
6. “Model-based energy-aware data movement optimization in the storage I/O stack,” P. Llopis, F. Isaila, J. Garcia-Blas, and J. Carretero, The journal of supercomputing, 2017.
7. “A Data-aware Scheduling Strategy for Workflow Execution in Clouds,” F. Marozzo, F. Rodrigo-Duro, J. Garcia-Blas, J. Carretero, D. Talia, and P. Trunfio, Concurrency and Computation: Practice and Experience (CCPE), 2017.
8. “Efficient design assessment in the railway electric infrastructure domain using cloud computing,” S. Caino-Lores, A. Garcia, F. Garcia-Carballeira, and J. Carretero, Integrated computer-aided engineering, vol. 24, iss. 1, pp. 57-72, 2017.
9. “Experimental evaluation of a flexible I/O architecture for accelerating workflow engines in ultrascale environments,” F. Rodrigo-Duro, J. Garcia-Blas, F. Isaila, J. Carretero, J. M. Wozniak, and R. Ross, Parallel computing, vol. 61, pp. 52-67, 2017.
10. “Boosting analyses in the life sciences via clusters, grids and clouds,” S. Gesing, J. Carretero, J. Garcia-Blas, and J. Montagnat, Future generation computer systems, vol. 67, pp. 325-328, 2017.
11. “Different aspects of workflow scheduling in large-scale distributed systems,” G. L. Stavrinides, F. Rodrigo-Duro, H. D. Karatza, J. Garcia-Blas, and J. Carretero, Simulation Modelling Practice and Theory, vol. 70, pp. 120-134, 2017.
12. “Introduction to sustainable ultrascale computing systems and applications,” J. Carretero, J. Garcia-Blas, and R. Ciegis, The journal of supercomputing, vol. 72, iss. 11, pp. 4043-4046, 2016.
13. “Making the case for reforming the I/O software stack of extreme-scale systems,” F. Isaila, J. Garcia-Blas, J. Carretero, R. Ross, and D. Kimpe, Advances in engineering software, 2016.
14. S. Escolar, S. Chessa, and J. Carretero, “Quality of service optimization in solar cells-based energy harvesting wireless sensor networks,” Energy efficiency, pp. 1-27, 2016.
15. “Nenek: a cloud-based collaboration platform for the management of Amerindian language resources,” J. L. Gonzalez, van’t Hooft, Anuschka, J. Carretero, and V. J. Sosa-Sosa, Language resources and evaluation, pp. 1-29, 2016.
16. A. Calderon, A. Garcia-Fernandez, F. Garcia-Carballeira, J. Carretero, and J. Fernandez, “Improving performance using computational compression through memoization: A case study using a Railway Power Consumption Simulator ,” International journal of high performance computing applications, 2016.
17. J. Carretero, J. Garcia-Blas, and M. G. Neytcheva, “Introduction to the special section on Optimization of parallel scientific applications with accelerated high-performance computers,” Computers & electrical engineering, vol. 46, pp. 78-80, 2015.
18. E. Serrano, J. Garcia-Blas, and J. Carretero, “A comparative study of an X-ray tomography reconstruction algorithm in accelerated and cloud computing systems,” Concurrency and computation: practice and experience, vol. 27, pp. 5538-5556, 2015. Impact Factor: 0.997
19. J.L.González and J. Carretero, “Nenek—Un caso de estudio sobre la introducción de la lengua huasteca al Internet.,” Mexicon. aktuelle studien und informationen zu mesoamerika. acta meseoamericana., vol. 28, pp. 65-77, 2015.
20. Improving performance using computational compression through memoization: A case study using a railway power consumption simulator. Alejandro Calderón, Alberto García, Félix García-Carballeira, Jesús Carretero and Javier Fernández. The International Journal of High Performance Computing Applications. Pp: 1–17. March, 2016. DOI: 10.1177/1094342016637813.
21. A cloudification methodology for multidimensional analysis: Implementation and application to a railway power simulator, Silvina Caíno Lores, Alberto García Fernández, Félix García-Carballeira, Jesús Carretero Pérez, Simulation Modelling Practice and Theory, 55, June, 2015, Elsevier, 1569-190X, 46-62, Impact factor: 1.050.
22. Towards efficient large scale epidemiological simulations in EpiGraph, Gonzalo Martin, David E. Singh, Maria-Cristina Marinescu and Jesus Carretero, Parallel Computing, 42, 0, January, 2015, Parallel Computing, Elsevier, 88-102.
23. Enhancing the performance of malleable MPI applications by using performance-aware dynamic reconfiguration, Gonzalo Martin, David E. Singh, Maria-Cristina Marinescu and Jesus Carretero, 46, 0, January, 2015, Parallel Computing, Elsevier, 60-77.
24. SkyCDS: A Resilient Content Delivery Service based on Diversified Cloud Storage, Jose Luis Gonzalez, Jesus Carretero, Victor J. Sosa-Sosa, Luis Miguel Sanchez, Borja Bergua-Guerra, Simulation Modelling Practice and Theory, January, 2015.
25. Acceleration of MPI mechanisms for sustainable HPC applications. Jesus Carretero, Javier Garcia-Blas, David E. Singh, Florin Isaila, Alexey Lastovetsky, Thomas Fahringer, Radu Prodan, Peter Zangerl, Christi Symeonidou, Afshin Fassihi, Horacio Pérez-Sánchez. . International Journal of Supercomputing frontiers and innovations, Vol. 2, No. 2, January, 2015, 28-45.
26. MAGIO: a data--pull parallel I/O architecture based on mobile agents, , 30, 2, March, 2015, David E. Singh, Florin Isaila, Félix García and Jesús Carretero. International Journal of Computer Systems Science and Engineering, CRL Publishing
27. Introduction to the Special Issue on Cloud for Health, Jesus Carretero, Javier Garcia Blas, Sandra Gesing, Scalable Computing: Practice and Experience, 16, 1, January, 2015, 1895-1767, 3-4.
28. Recent advances in the Message Passing Interface, Javier Garcia Blas and Jesus Carretero, International Journal of High Performance Computing Applications, August, 2014, SAGE, 1-3, Impact factor: 1.625, 10.1177/0123456789123456.
29. Estimating Energy Savings in Smart Street Lighting by using an Adaptive Control System, Soledad Escolar, Jesús Carretero, Maria-Cristina Marinescu and Stefano Chessa, June, 2014, International Journal of Distributed Sensor
Networks, Hindawi, 17, (Impact Factor: 0.73). 10/2013; http://dx.doi.org/10.1155/2014/971587. 30. Energy-Neutral Networked Wireless Sensors, Soledad Escolar, Stefano Chessa, and Jesús
Carretero, Simulation Modelling Practice and Theory, Volume 43, April, 2014, ISSN 1569, Pages 1-15, Impact Factor: 1.159 (2012), http://dx.doi.org/10.1016/j.simpat.2014.01.002.
31. Introduction to cloud computing: platforms and solutions, Jesus Carretero, Javier Garcia Blas, Cluster Computing, February, 2014, 1386-7857, 1-5, http://dx.doi.org/10.1007/s10586-014-0352-5, journal,
32. Surfing the optimization space of a multiple-GPU parallel implementation of a X-ray tomography reconstruction algorithm, Javier Garcia Blas, Monica Abella, Florin Isaila, Jesus Carretero, Manuel Desco, Journal of Systems and Software, March, 2014, 0164-1212, Impact factor: 1.135.
33. CONDESA: A Framework for Controlling Data Distribution on Elastic Server Architectures, Juan M. Tirado, Daniel Higuero, Javier Garcia Blas, Florin Isaila, Jesus Carretero, IEEE Transactions on Parallel and Distributed Systems, 25, 8, August, 2014, 1045-9219, 2010-2019, Impact factor: 1.80, http://doi.ieeecomputersociety.org/10.1109/TPDS.2013.197.
34. CoSMiC: A hierarchical Cloudlet-based Storage Architecture for Mobile Clouds , Francisco Jose Rodrigo Duro, Javier Garcia Blas, Daniel Higuero, Oscar Perez, Jesus Carretero,, The journal Simulation Modelling Practice and Theory , July, 2014, Elsevier, 1569-190X, Impact factor: 1.188.
35. A Novel Black-Box Simulation Model Methodology for Predicting Performance and Energy Consumption in Commodity Storage Devices, Laura Prada, Javier Garcia, Alejandro Calderon, J. Daniel Garcia, Jesus Carretero, The Journal of Simulation Modelling Practice and Theory, January, 2013.
36. Optimizing the process of designing and calculating railway catenary support infrastructure using a high-productivity computational tool, Alberto Garcia, Carlos Gomez, Ruben Saa, Felix Garcia-Carballeira and Jesus Carretero, Transportation Research Part C: Emerging Technologies, 28, March, 2013, Elsevier, 0968-090X, 1-14, Impact factor: 1.957, http://dx.doi.org/10.1016/j.trc.2012.12.012
37. An Approach for Constructing Private Storage Services as a Unified Fault-Tolerant System, J.L. Gonzalez, J. Carretero Perez, Victor Sosa Sosa, Juan F. Rodriguez Cardoso, Victor Marcelin-Jimenez , Journal of Systems and Software, March, 2013, Journal of Systems and Software, Elsevier, 0164-1212, In Press - Available on-line. Impact factor: 0.836., http://dx.doi.org/10.1016/j.jss.2013.02.056
38. Energy Management in Solar Cells Powered Wireless Sensor Networks for Quality of Service Optimization, Soledad Escolar, Stefano Chessa, Jesus Carretero., Personal and Ubiquitous Computing, March, 2013, Springer, 1617-4909, 1-25, Impact factor: 0.938
39. The Internet of Things: connecting the world, Jesús Carretero y José D. García, Personal and Ubiquitous Computing , May, 2013, Springer-Verlag, 1617-4909, 10.1007/s00779-013-0665-z
40. Survey of energy-efficient and power-proportional storage systems, Pablo Llopis, Javier Garcia Blas, Florin Isaila, Jesus Carretero, The Computer Journal, May, 2013, 0010-4620, Impact factor: 0.785
41. Special Issue on Parallel and Distributed Processing with Applications, J. Carretero & L.T. Yang, International Journal of Parallel Programming, September, 2013, Springer Verlag, 0885-7458, 3, 2012 impact factor: 0.569, DOI: 10.1007/s10766-013-0254-9
42. Parallel and Distributed Processing with Applications: Preface, Jesus Carretero & Laurence T. Yang, International Journal of Parallel Programming, June, 2013, Springer, 0885-7458, 3, Impact factor: 0.569, (DOI) 10.1007/s10766-013-0254-9.
43. E-mc2: A Formal Framework for Energy Modelling in Cloud Computing, Gabriel González Castañé, Alberto Nuñez, Pablo Llopis, Jesus Carretero, Simulation Modelling Practice and Theory, 0, January, 2013, Elsevier, -, 1569-190X, http://www.sciencedirect.com/science/article/pii/S1569190X13000816
44. A web cluster architectural proposal for balancing storage capacity and reliability by using partial replication, J. Daniel Garcia, Jesus Carretero, F. Javier Garcia, L. Miguel Sanchez, Felix Garcia, 28, 3, Reino Unido, May, 2013, International Journal of Computer Systems, Science and Engineering, CRL Publishing Ltd, 0267 6192, 191-202.
45. Estimating Energy Savings in Smart Street Lighting by using an Adaptive Control System, Soledad Escolar, Jesús Carretero, Maria-Cristina Marinescu and Stefano Chessa, November, 2013, International Journal of Distributed Sensor Networks, (Impact Factor: 0.73). 10/2013.
46. Rosa Filgueira, Jesus Carretero, David E. Singh, Alejandro Calderón, Alberto Nuñez. Dynamic-compi: Dynamic optimization techniques for MPI parallel applications. The Journal of Supercomputing, Vol 59, No 1, April, 2012, Springer, 0920-8542, 361-391, Impact factor: 0.545 (2010).
47. Alejandro Calderón, Felix García-Carballeira, Borja Bergua, Luis Miguel Sánchez and Jesús Carretero. Expanding the volunteer computing scenario: A novel approach to use parallel applications on volunteer computing. Future Generation Computer Systems Journal, Vol 28, No 6, June, 2012, Elsevier , 0167-739X, 881-889, Impact factor: 2.365 (2010).
48. Alberto Núñez, Javier Fernández, Rosa Filgueira, Félix García, Jesús Carretero. SIMCAN: A flexible, scalable and expandable simulation platform for modelling and simulating distributed architectures and applications. Simulation Modelling Practice and Theory, Vol 20, No 1, January, 2012, Elsevier B.V., 1569-190X, PP. 12-32, Impact Factor: 0.728.
49. Soledad Escolar and Jesús Carretero. An Open Framework for Translating Portable Applications into Operating System-specific Wireless Sensor Networks Applications. Software: Practice and Experience Journal. Volume 42, Issue 2. February, 2012, John Wiley & Sons, Ltd., Online IS, Impact Factor: 0.573 (2010).
50. Ruben Saa, Alberto Garcia, Carlos Gomez, Jesus Carretero and Felix Garcia-Carballeira . An ontology-driven decision support system for high-performance and cost-optimized design of complex railway portal frames. Expert Systems With Applications Journal, Vol 39, No 10, August, 2012. Elsevier, 0957-4174, Impact Factor: 2.203 (2012).
51. Carlos Gomez, Ruben Saa, Alberto Garcia, Felix Garcia-Carballeira and Jesus Carretero. A model to obtain optimal designs of railway overhead knuckle junctions using simulation. Simulation Modelling Practice and Theory Journal, Vol 26, August, 2012. Elsevier, 1569-190X, Impact Factor: 0.969 (2012).
52. Alberto Núñez, Jose L. Vázquez-Poletti, Agustin C. Caminero, Gabriel G. Castañé, Jesus Carretero and Ignacio M. Llorente. iCanCloud: A Flexible and Scalable Cloud Infrastructure Simulator. JOURNAL OF GRID COMPUTING, Vol 10, No 1. USA, January, 2012, Special Issue: Data Intensive Computing in the Clouds., Springer Netherlands, 1570-7873, 185-209, Impact factor: 1,556.
53. Soledad Escolar, Stefano Chessa, Jesús Carretero and Maria-Cristina Marinescu. Cross Layer Adaptation of Check Intervals in Low Power Listening MAC Protocols for Lifetime Improvement in Wireless Sensor Networks. Sensors Journal. Vol 11, No 11. MDPI Publishing. Basel, Switzerland, July, 2012. ISSN 1424, 1-25, Impact factor: 1.739 (2011).
54. Gonzalo Martín, Maria-Cristina Marinescu, David E. Singh y Jesús Carretero. Leveraging social networks for understanding the evolution of epidemics, BMC Systems Biology, 5 (Suppl 3), S14, December, 2011, Impact Factor: 3.57, doi:10.1186/1752-0509-5-S3-S14
55. Alejandro Calderón, Felix García-Carballeira, Borja Bergua, Luis Miguel Sánchez and Jesús Carretero. Expanding the volunteer computing scenario: A novel approach to use parallel applications on volunteer computing, Future Generation Computer Systems , April, 2011, Elsevier
56. Laura Prada, Javier García, J. Daniel García, Jesús Carretero. Power saving-aware prefetching for SSD-based systems. Journal of Supercomputing, March, 2011, Springer, 0920-8542.
57. Soledad Escolar, Jesús Carretero, Alejandro Calderón, Maria-Cristina Marinescu, Borja Bergua. A novel methodology for the monitoring of the agricultural production process based on wireless sensor networks. Computers and Electronics in Agriculture, 76, 2, May, 2011, Computers and Electronics in Agriculture, Elsevier, 0168-1699, 252-265.
58. Rosa Filgueira, David E. Singh, Alejandro Calderón, Felix García Carballeira, Jesús Carretero. Enhancing MPI based applications performance and scalability by using adaptive compression. International Journal of High Performance Computing and Applications, May, 2011, Sage, Impact factor: 1.274.
59. Florin Isaila, Javier Garcia Blas, Jesus Carretero, Robert Latham, Robert Ross. Design and evaluation of multiple level data staging for Blue Gene systems, IEEE Transactions on Parallel and Distributed Systems, Vol 22, Issue 6, June, 2011. IEEE. USA. Impact factor: 1.733.
60. Alberto Núñez, Javier Fernández, Jose D. Garcia, Félix Garcia & Jesús Carretero. New techniques for simulating high performance MPI applications on large storage networks. Journal of Supercomputing. Vol 51 No 1. 2010. Springer, ISSN: 0920-8542.Pages 40-57.
61. Juan M. Tirado, Daniel Higuero, Florin Isaila, Jesus Carretero, and Adriana Iamnitchi. Affinity P2P: A self-organizing content-based locality-aware collaborative peer-to-peer network, Computer Networks, Vol 54, Issue 12, January, 2010. Pp. 2056-2070. Elsevier North-Holland, Inc.. ISSN: 1389-1286, , Impact factor: 1.201 (2010), http://dx.doi.org/10.1016/j.comnet.2010.04.016.
62. Jesús Carretero and Jose D. García. Scalable Storage Systems and High-Perfomance Applications. Journal of Supercomputing. Vol 51 No 1. 2010. Springer, ISSN: 0920-8542.Pages 1-3.
63. José M. Pérez, Félix García-Carballeira, Jesús Carretero, Alejandro Calderón, Javier Fernández. Branch replication scheme: A new model for data replication in large scale data grids. Volume 26, Issue 1, (January 2010), Pages 12-20.
64. Daniel Higuero, Juan M. Tirado, Jesús Carretero, Fernando Félix and Antonio de la Fuente, HIDDRA: a highly independent data distribution and retrieval architecture for space observation missions, Journal of Astrophysics and Space Science, Volume 321, 3-4, 2009, Springer Netherlands, 0004-640X, 169-175, http://dx.doi.org/10.1007/s10509-009-0029-3.
65. Florin Isaila, Javier Garcia Blas, Jesus Carretero, Wei-keng Liao, and Alok Choudhary, A Scalable Message Passing Interface Implementation of an Ad-Hoc Parallel I/O System, International Journal of High Performance Computing Applications, Vol. 24, Issue 2. Pp: 164-184. Mayo 2010. SAGE Pub. Impact factor: 1.171.
66. Javier Garcia Blas, Florin Isaila, Jesus Carretero, David E. Singh, Felix Garcia, Write-back and prefetching in an MPI-IO implementation for GPFS. International Journal of High Performance Computing Applications, January, 2009.
67. David E. Singh, Alejandro Miguel, Félix García and Jesús Carretero. Mobile Agent Systems Integration into Parallel Environments.. Scalable Computing: Practice and Experience (SCPE), 2008. Nova Science Publishers, ISSN: 1895-1767.
68. David E. Singh, Florin Isaila, Juan Carlos Pichel and Jesús Carretero. A collective I/O implementation based on Inspector-Executor paradigm. Journal of Supercomputing. Vol 49, 2008. Springer, ISSN: 0920-8542.
69. F. García, J. Carretero, A. Calderón, J. D. García, L. M. Sánchez. A global and parallel file system for Grids. Future Generation Computer Systems, Vol. 23, 2007. Special Issue on Data Analysis, Access and Management on Grids.. Elsevier, ISSN: 0167-739X. Págs. 116-122.
70. J. D. Garcia, J. Carretero, F. Garcia, J. Fernandez, D. E. Singh, A. Calderón. “Reliable Partial Replication of Contents in Web Clusters: Getting Storage without losing Reliability”. Journal of Computers (JCP). Vol. 1, Nº 7, octubre/noviembre de 2006. Pags. 81-88 Academy Publisher 1796-203X.
71. J. D. García, J. Carretero, F. García, J. Fernández, A. Calderón, D. E. Singh. “A Quantitative Justification to Partial Replication of Web Contents” International Conference on Computational Science and its Applications - ICCSA 2006, Lecture Notes in Computer Science.
72. M.S. Pérez, J. Carretero, F. García, J.M. Peña, V. Robles. “MAPFS: A Flexible Multiagent Parallel File System for Clusters”. Journal of Future Generation Computer Systems. Special Issue on Parallel Input/Output Management Techniques. Elsevier Science. vol. 22, issue 5 (pág. 620-632). 2006
73. José Daniel García, Jesús Carretero, José María Pérez, Félix García and Rosa Filgueira,: “Specifying use case behavior with interaction models”, in Journal of Object Technology, vol. 4, no. 9, November-December 2005, pp. 143-159 http://www.jot.fm/issues/issue_2005_11/article5
74. Victor Mendez, Julio Cesar Hernández, Jesús Carretero, Félix García. Filtering Spam at E-Mail Server Level with Improved CRM114. Information Systems Security. Vol. 13, N. 3. July 2004. , ISSN:1065-898X. Págs. 207-216
75. Félix García, Jesús Carretero, Alejandro Calderón, José María Pérez, José Daniel García. An Adaptive Cache Coherence Protocol Specification for Parallel Input/Ouput Systems. IEEE Transactions on Parallel and Distributed Systems. Vol. 15, N. 6. June 2004. IEEE Computer Society, ISSN:1045-9219. Págs. 533-545
76. F. García, A. Calderón, J. Carretero, J.M. Pérez, J. Fernández. “The Design of the Expand Parallel File System” International Journal of High Performance Computing Applications. Spring 2003. Pp 21-37.
77. José M. Pérez, Félix García-Carballeira, Alejandro Calderón, Javier Fernández, Antonio Lozano, Luis Cardona, Norberto Cotaina and Pierre Prete, Applying RCM in large scale systems: a case study with railway networks, Reliability Engineering & System Safety,
September 2003. 78. J. Carretero, J. Fernandez, F. García and A. Choudhary. “A Hierarchical Disk Scheduler for
Mutilmedia Systems” Future generation Computer Systems Journal, Elsevier Press. 19( 2003), pp. 23-35.
79. J. No, S.S. Park, J. Carretero, and A. Choudhary. “Design and Implementation of a Parallel I/O Runtime System for Irregular Applications”. Journal of Parallel and Distributed Computing, Academic Press. January 2002.
80. J. Carretero, S. Rodrguez "Building Lexical Tools to Manage Information Written in Spanish " Journal of Information Science. Bowker-Saur, Sussex, U. K. October. 1996. Vol. 22 No. 5 Pp. 391-393.
81. F. Pérez, J. Carretero, F. García, P.de Miguel and L. Alonso "Evaluating ParFiSys: a High-Performance Parallel and Distributed File System". Journal of System Architecture. North-Holland. 1997. Vol. 43.
82. J. Carretero, F. Pérez, P. de Miguel, F. Garca, and L. Alonso "Improving the Performance of Parallel File Systems". Parallel Computing: Special Issue on Parallel I/O Systems. North-Holland. October. 1996. Vol. 26 No. 10.
83. J. Carretero, F. Pérez, P. de Miguel, F. Garca, and L. Alonso "ParFiSys: A Parallel File System for MPP" ACM Operating Systems Review. ACM. April. 1996. Vol. 30 No. 2 Pp. 74-81.
84. J. Carretero, F. Pérez, P. de Miguel, F. Garca, and L. Alonso "A Massively Parallel and Distributed I/O Subsystem" ACM Computer Architecture News. ACM. June 1996. Vol. 24 No. 3 Pp. 1-9.
85. J. Carretero, P. Miguel, M. Nieto, A. Pérez, C. López. Designing A Programming Model for Distributed Direct Access Systems International Journal of Mini and Microcomputers, Vol 14, No. 3, 1992, pp. 147-153. ISMM.
86. J. Zamorano, F. Peréz, J. Carretero, L. Gómez, A. Pérez. Using G. K. S. Concurrently: a Practical Solution Computer Graphics Forum 9, 1990, pp. 239-244. EuroGraphics
Publicaciones en la Serie Lecture Notes in Computer Science
1. Rosa Filgueira, David E. Singh, Juan C. Pichel, Florin Isaila and Jesús Carretero, Data locality aware strategy for Two-Phase Collective I/O, International Meeting High Performance Computing for Computational Science (VECPAR), Toulouse, France, 2008, Lecture Notes in Computer Science., Springer-Verlag Editorial.
2. J. D. García, J. Carretero, F. García, J. Fernández, A. Calderón, D. E. Singh. “A Quantitative Justification to Partial Replication of Web Contents” International Conference on Computational Science and its Applications - ICCSA 2006, Lecture Notes in Computer Science.
3. J. M. Pérez, F. García, J. Carretero, J. D. García, S. Escolar. “Data Driven Infrastructure and Policy Selection to Enhance Scientific Applications in Grid”, Scientific Applications of Grid Computing: First International Workshop SAG 2004. Revised Selected and Invited Papers. Lecture Notes in Computer Science, Vol. 3458, 59–74, Springer Verlag, 2005. ISBN: 3-540-25810-8. ISSN: 0302-9743.
4. M. B. Ibáñez, J. Carretero, F. Garcia. “Symmetrical Data Sieving for Noncontiguous I/O Accesses in Molecular Dynamics Simulations”. 12th European Parallel Virtual Machine and Message Passing Interface Conference (Euro PVM/MPI 2005). Sorrento (Naples), ITALY --
September 18/21. Lecture notes in Computer Science 2005. Factor de impacto (JCR 2004): 0,513 Lugar que ocupa en el área de "Computer Science, Theory & Methods”: 53/70.
5. M.S. Perez, J. Carretero, F. Garcia, J.M. Peña, V. Robles. “MAPFS-GRID: A Flexible Architecture for Data-Intensive Grid Applications”. Lecture Notes in Computer Science series. Vol. 2970 Fernández Rivera, F.; Bubak, M.; Gómez Tato, A.; Doallo, R. (Eds.)2004, XI, 328 p. Revisión posterior al 1º European Across Grids Conference. Pp. 111-118.
6. J. M. Perez, F. Garcia, J. Carretero, A. Calderon and J. Fernández. “A Parallel I/O Middleware to Integrate Heterogeneous Storage Resources on Grids”. Lecture Notes in Computer Science series. Vol. 2970 Fernández Rivera, F.; Bubak, M.; Gómez Tato, A.; Doallo, R. (Eds.)2004, XI, 328 p. Revisión posterior al 1º European Across Grids Conference. Pp. 124-131.
7. F. García, A. Calderón, J. Carretero, J. Fernández, J. M. Pérez. “An Expandable Parallel File System Using NFS servers”. Lecture Notes in Computer Science. Vol. 2565. 2003. ISBN: 3-540-00852-7. Pp. 565-578.
8. J. Carretero, J. Fernández, F. García. "Enhancing Parallel Multimedia Servers through New Hierarchical Disk Scheduling Algorithms". Vector and Parallel Processing VECPAR-2000. José M. L. M. Palma, Jack Dongarra and Vicente Hernandez (Eds). Lectures Notes in Computer Science, Vol 1981, Springer Verlag, Berlin, 2001. Pp. 89-100. A.
9. F. García, A. Calderón, J. Carretero MiMPI: A Multithread-Safe Implementation of MPI Recent Advances in PVM and MPI. 6th PVM/MPI European User´s Group Meeting. Jack Dongarra, Emilio Luque and Tomas Margalef (Eds.). Lecture Notes in Computer Science Vol. 1697, Springer. Barcelona, septiembre 1999. Pp. 207-214. A.
10. F. García, J. Carretero, F. Pérez and P. de Miguel High Performance Cache Management for Parallel File Systems VECPAR’98 - Third International Conference for Vector an Parallel Processingr. José M. L. M. Palma, Jack Dongarra and Vicente Hernandez (Eds). Lecture Notes in Computer Science, Vol. 1573. 1999. Springer, Berlin. Pp. 466-479. A.
11. J. Carretero, F. Pérez, P. de Miguel, F. García, L. Alonso "I/O Data Mapping in ParFiSys: Support for High Performance I/O in Parallel and Distributed Systems" Lecture Notes in Computer Science. 1123. Springer-Verlag, Berlin 1996. ISBN 3-540-61626-8 Pp. 522-527 A
Publicaciones en Revistas Nacionales
1. S. Rodríguez, J. Carretero A Formal Approach to Spanish Grammar: the COES Tools Procesamiento del Lenguaje natural, Vol 19, Septiembre, 1996, pp. 118-127. Sociedad Española para el Procesamiento del Lenguaje Natural A
2. J. Carretero " Un Sistema de Ficheros con Coherencia de Cache para Multiprocesadores de Pr opósito General" Tesis Doctoral. Facultad de Informática, Universidad Politécnica de Madrid, Madrid (1995). Pp. 259 L
3. J. Carretero, S. Rodríguez "Formalización de reglas morfológicas para un nuevo corrector ortográfico en español, " Revista Española de lingüística. November. 1996. A
4. J. Carretero, S. Rodríguez COES: Herramienta lingüística de libre distribución para la lengua española Novática, (1997). ATI. 1996 A
5. J. Carretero, A. Pérez La Máquina de Conexión Novática, Junio (1990). ATI. Pp. 23-34 A
6. J. Carretero, B. Villalobos Sistema de Tiempo real en Ada: Metodologías de Diseño Novática, Febrero (1990). ATI. Pp. 27-38 A
7. J. Carretero, B. Villalobos Sistema de Tiempo real en Ada: Metodologías de Diseño SpaAda, Diciembre (1989). Ada-Spain. Pp. 15-26 A
Informes Técnicos Internacionales
1. J. Carretero, F. García, M. Pérez, J.M. Peña, J.M. Pérez, N. Cotaina, F. Matos, J. Chabrol, D. Djeapragache, P. Prete, “Study of Existing Reliability Centered Maintenance (RCM) Aproaches Used in Different Industries”, Informe Técnico FIM/110.1/DATSI/00, Facultad de Informática, UPM, 2000.
2. F. García, J. Carretero, F. Pérez and P. de Miguel Evaluating the ParFiSys Cache Coherence Protocol on an IBM SP2 Informe Técnico FIM-104.1-DATSI-98. Facultad de Informática, UPM, 1998. Pp. 18
3. J. Carretero, F. Pérez, P. de Miguel, F. García, L. Alonso "Prototype POSIX-Style Parallel File Server for the GPMIMD: Final Report" ESPRIT Project P5404, Working Paper D1.7/2, GPMIMD, European Union (1995). Pp. 89 TR
4. J. Carretero, F. Pérez, P. de Miguel, F. García, L. Alonso "LFS Design: A Parallel File Server for Multicomputers" Informe Técnico FIM-81.1-DATSI-94. Facultad de Informática, Universidad Politécnica de Madrid (1994). Pp. 44 TR
5. F. Pérez, J. Carretero, P. de Miguel, F. Rosales, F. García, L. Alonso "CLFS Design: A Parallel File Manager for Multicomputers" Informe Técnico FIM-82.1-DATSI-94. Facultad de Informática, Universidad Politécnica de Madrid (1994). Pp. 46 TR
6. F. Rosales, J. Carretero, F. Pérez, P. de Miguel, F. García, L. Alonso "CDS Design: A Parallel Disk Server for Multicomputers" Informe Técnico FIM-83.1-DATSI-94. Facultad de Informática, Universidad Politécnica de Madrid (1994). Pp. 26 TR
7. J. Carretero, F. Pérez, P. de Miguel, F. García, L. Alonso "Implementation of a Parallel File System: CCFS a case of Study" Informe Técnico FIM-84.1-DATSI-94. Facultad de Informática, Universidad Politécnica de Madrid (1994). Pp. 32 TR
8. J. Carretero, F. Pérez, P. de Miguel, F. García, L. Alonso "Prototype POSIX-Style Parallel File Server and Report for the CS-2" ESPRIT Project P5404, Working Paper D1.7/1, GPMIMD, European Union (1994). Pp. 472 TR
9. P. de Miguel, J. Carretero, F. Pérez, F. García, L. Alonso "Cache Coherent File System Interface" ESPRIT Project P5404, GPMIMD WP12, European Union (1993). Pp. 15 TR
10. P. de Miguel, J. Carretero, F. Pérez, F. García, L. Alonso "Abstract User Interface for a Multiprocessor File System" Informe Técnico FIM-72.1-DATSI-93. Facultad de Informática, Universidad Politécnica de Madrid (1993). Pp. 40 TR
11. P. de Miguel, J. Carretero, F. Pérez, F. García, L. Alonso "Basic Support Services for a Multiprocessor File System" Informe Técnico FIM-73.1-DATSI-93. Facultad de Informática, Universidad Politécnica de Madrid (1993). Pp. 40 TR
12. J. Carretero, F. Pérez, P. de Miguel, F. García, L. Alonso "Cache Coherent File System Design Rationale" ESPRIT Project P5404, Working Paper 24, GPMIMD, European Union (1993). Pp. 138 TR
13. M. Nieto, P. de Miguel, J. Carretero, A. Pérez, C. López "Parallel Multidisk X/OPEN ISAM Implementation for a RDBMS" Informe Técnico FIM-67.1-ARQ-92. Facultad de Informática, Universidad Politécnica de Madrid (1992). Pp 42 TR
Informes Técnicos Nacionales
1. M. G. Casares, J. Fernández, E. Chicharro, F. García y J. Carretero. “Evaluación de ORB para CORBA: Un Estudio Comparativo”. Informe Técnico UC3M-TR-CS-2001-02. Universidad Carlos III de Madrid. 2001
2. J. Carretero "Entrada/Salida en Máquinas Paralelas: Problemática" Informe Técnico FIM/90.1/DATSI/95. Facultad de Informática, Universidad Politécnica de Madrid, Madrid (1995). Pp 84 TR
3. J. Carretero "Dise no de un Sistema de Ficheros Paralelo para Máquinas Masivamente Paralelas" Informe Técnico FIM/91.1/DATSI/95. Facultad de Informática, Universidad Politécnica de Madrid, Madrid (1995). Pp 180 TR
4. J. Carretero "Accesos Concurrentes y Coherencia en un Sistema de Ficheros Paralelo para Máquinas Masivamente Paralelas" Informe Técnico FIM/92.1/DATSI/95. Facultad de Informática, Universidad Politécnica de Madrid, Madrid (1995). Pp 34 TR
5. J. Carretero "Nombres y Directorios en un Sistema de Ficheros Paralelo para Máquinas Masivamente Paralelas" Informe Técnico FIM/93.1/DATSI/95. Facultad de Informática, Universidad Politécnica de Madrid, Madrid (1995). Pp 56 TR
6. J. Carretero "Evaluación de un Sistema de Ficheros Paralelo para Máquinas Masivamente Paralelas" Informe Técnico FIM/94.1/DATSI/95. Facultad de Informática, Universidad Politécnica de Madrid, Madrid (1995). Pp 28 TR
7. J. Carretero "Entrada/Salida en Máquinas Paralelas: Problemática" Informe Técnico FIM/90.1/DATSI/95. Facultad de Informática, Universidad Politécnica de Madrid, Madrid (1995). Pp 84 TR
8. S. Arévalo, J. Carretero Informe final del proyecto "Técnicas de Tolerancia a Fallos en un Sistema Operativo Distribuido" Facultad de Informática, Universidad Politécnica de Madrid, Madrid (1992). Pp. 123 TR
9. J. Carretero "Monitor del Sistema S400" Informe Técnico FIM-52.1-DATSI-90. Facultad de Informática, Universidad Politécnica de Madrid (1990). Pp. 14 TR
10. Tomo III: Sistema Virtual de Representación Facultad de Informática, Universidad Politécnica de Madrid, (1989). TR
11. P. de Miguel, A. Pérez, M. Martínez, S. Rodríguez, J. Zamorano, 12. F. Pérez, J. Carretero, F. Rosales y A. Lombán Sistema de Reproducción y Análisis de Señales
en Laboratorio. Tomo I Facultad de Informática, Universidad Politécnica de Madrid, (1990). TR 13. P. de Miguel, A. Pérez, M. Martínez, S. Rodríguez, J. Zamorano, 14. F. Pérez, J. Carretero, F. Rosales y A. Lombán Sistema de Reproducción y Análisis de Señales
en Laboratorio. Tomo II Facultad de Informática, Universidad Politécnica de Madrid, (1990). TR 15. P. de Miguel, S. Rodríguez y J. Carretero Manual de Usuario del SRPL. Facultad de
Informática, Universidad Politécnica de Madrid, (1990). TR 16. J. Carretero, F. Rosales, F. Pérez Cuaderno de Prácticas de Sistemas Operativos. Quinta
Edición Facultad de Informática, Universidad Politécnica de Madrid, (1991-1996). Pp. 34 O 17. J. Carretero, P. de Miguel Cuaderno de Prácticas de Control de Procesos. Quinta Edición
Facultad de Informática, Universidad Politécnica de Madrid, (1991-1996). Pp. 23 O
Participación en contratos de I+D de especial relevancia con Empresas y/o Administraciones (nacionales y/o internacionales)
Título del proyecto: NESUS: Netwotk for Sustainable Ultrascale Computing Systems. COST Action IC-1305. 2014 Organismo financiador: Unión Europea Duración , Marzo 2014 – Marzo 2018. Instituciones participantes: Centros de Investigación de 39 países de Europa, más Rusia, EEUU, Canada, Mexico, Colombia y Australia. Investigador principal: Jesús Carretero Número de investigadores: 182 Presupuesto: 756.000 euros Título del proyecto: CLARISSE:Cross-Layer Abstractions and Run-time for I/O Software Stack of Extreme-scale systems. PIOF-GA-2012-328582 Organismo financiador: Unión Europea Duración , septiembre 2013- septiembre 2016 Empresas participantes: Universidad Carlos III de Madrid, Argonnne National Labs (Chicago, USA) Investigador principal: Jesús Carretero Número de investigadores: 2 Presupuesto: 352.823 euros Título del proyecto: Rengineering and Enabling Performance and poweR of Applications. REPARA. EU-FP7-ICT-2013-10. Organismo financiador: Unión Europea Duración: Octubre 2013- Octubre 2016 Empresas participantes: Universidad Carlos III de Madrid, HSR Rapperswil, Technische Universität Darmstadt, University of Szeged, Evopro Innovation, Ixion, University of Pisa. Investigador principal: Jose Daniel García Número de investigadores: 42 Presupuesto: 2.671.000 euros Título del proyecto: Técnicas Escalables De Entrada/Salida En Entornos Distribuidos Y De Computación De Altas Prestaciones, Ref: TIN2010-16497. Organismo financiador: MINISTERIO DE CIENCIA E INNOVACIÓN Duración , Enero 2010- Diciembre 2011 Empresas participantes: . Investigador principal: Jesús Carretero Número de investigadores: 22 Presupuesto: 225.600 euros
Título del proyecto: Proyecto para la Investigación sobre la aplicación de las TIC a la innovación de las diferentes infraestructuras correspondientes a las instalaciones de electrificación y suministro de energía (SIRTE). Ref: JM/RS 3.9/1500.0009/0-00000 Organismo financiador: ADIF Partners: Universidad Carlos III de Madrid. Duración: Septiembre 2010 – junio 2013 Responsable: Jesús Carretero Número de investigadores: 6 Presupuesto: 355,000,00 euros Título del proyecto: Nuevas técnicas de almacenamiento escalable en computación de altas prestaciones. Ref: TIN2007-63092 Organismo financiador: Spanish Ministry of Education & Science Duración , 2007-2011 Empresas participantes: . Investigador principal: Jesús Carretero Pérez Número de investigadores: 20 Presupuesto: 273.460 Título del proyecto: Estudio y realización de programas de cálculo de pórticos rígidos de catenaria (CALPOR) y de Sistemas de simulación de montaje de agujas aéreas de línea aérea de contacto (SIA). 2007/04058/001 Organismo financiador: ADIF Partners:: Universidad Carlos III de Madrid. Duración , since el 07/02/2007 al 07/02/2009 Responsable: Jesús Carretero Número de investigadores: 6 Presupuesto: 466.681,00 euros Título del proyecto: “Sistema Modular de Control de Sistemas Generales para uso en Aviones”. "FIT-370200-2004-9" Organismo financiador: Ministerio de Industria Duración , since: january de 2004 to: january de 2007 Empresas participantes: "EADS-CASA e INDRA". Investigador Responsable en la Universidad Carlos III: Jesús Carretero Pérez Número de investigadores: 5 Título del proyecto: Red Temática para la Coordinación de Actividades Middleware en Grids . Acción Especial. Acción Complementaria de investigación TIN2005-25849-E
Organismo financiador: Spanish Ministry of Education & Science Partners:: 36 centros Duración : since october de 2006 hasta october de 2008 Investigador principal: Ignacio Martín Llorente (Universidad Complutense de Madrid) Número de investigadores: 177 Presupuesto: 40000 euros Título del proyecto: Almacenamiento de altas prestaciones en entornos grid. TIN2004-02156 Organismo financiador: Spanish Ministry of Education & Science Duración , since: january de 2005 to: december de 2007 Investigador principal: Félix García Carballeira Número de investigadores: 10 Presupuesto: 146280 euros Título del proyecto: Programa de Investigación en Sistemas de Prueba y Prototipado para Aviónica. Organismo financiador: EADS CONSTRUCCIONES AERONAUTICAS S.A. C.A.S.A. Partners:: Universidad Carlos III de Madrid. Duración , since: 2003 to: 2004 Responsable: Jesús Carretero Número de investigadores: 4 Título del proyecto: Applying Windows CE. NET to avionic industry. Organismo financiador: MICROSOFT RESEARCH LTD. Partners:: Universidad Carlos III de Madrid. Duración , since: 2003 to: 2004 Responsable: Jesús Carretero Número de investigadores: 3 Título del proyecto: Técnicas de aumento de prestaciones en clusters de servidores Web distribuidos y cooperativos Organismo financiador: Comunidad de Madrid – Fondo Europeo de Desarrollo Regional (UE). Partners:: Universidad Carlos III de Madrid. Duración , since: july-2003 to: july-2004 Investigador principal: Jesús Carretero Pérez Número de investigadores: 8
Título del proyecto: Técnicas de Distribución y Paralelización de E/S en Entornos de Red con Almacenamiento de Altas Prestaciones: Aplicación a Servidores HTTP. Ref: TIC2000-0472.
Organismo financiador: CICYT. Spanish Ministry of Education & Science. Partners:: Universidad Carlos III de Madrid, Universidad Politécnica de Madrid y Universidad Politécnica de Valencia. Duración , since: 2000 to: 2003. Coordinador: Jesús Carretero. Investigador Responsable del subproyecto C01: Jesús Carretero. Número de investigadores: 18 Título del proyecto: Design and Development of a Parallel File System for Windows 2000. Organismo financiador: Microsoft Research. Partners:: Universidad Carlos III de Madrid. Duración , since: 2001 to: 2003 Investigador principal: Jesús Carretero Pérez Número de investigadores: 4 Título del proyecto: RAIL: Preventive Maintenance for Railway Infrastructures Organismo financiador: European Union Partners:: Consorcio de universidades y empresas europeas Duración , since: 2000 to: 2002 Investigador principal: Jesús Carretero Número de investigadores: 27 Título del proyecto: High-Performance Parallel I/O for Massivelly Parallel Machines. Organismo financiador: National Science Foundation (USA) Partners:: Northwestern University y Universidad Politécnica de Madrid Duración , since: 1997 to: 1998 Investigador principal: Alok Choudhary Número de investigadores: 5 Título del proyecto: GPMIMD: General Purpose MIMD Machine Organismo financiador: European Union Partners:: Consorcio de empresas y universidades europeas Duración , since: 1991 to: 1995 Investigador principal: Pedro de Miguel Número de investigadores:
Patentes y Modelos de utilidad
Inventores (p.o. de firma): Manuel Desco Menéndez, Mónica Abella García, Inés García Barquero, Claudia de Molina Gómez, Estefanía Serrano López, Francisco Javier García Blas, Jesús Carretero Pérez Título: FUX-SIM N. de solicitud: 16/2017/7105 País de prioridad: España Fecha de prioridad: 29/11/2017 Entidad titular: FIBHGM-UC3M Países a los que se ha extendido: España Empresa/s que la están explotando: SEDECAL Inventores (p.o. de firma): Manuel Desco Menéndez, Mónica Abella García, Inés García Barquero, Claudia de Molina Gómez, Estefanía Serrano López, Francisco Javier García Blas, Jesús Carretero Pérez Título: Raptor N. de solicitud: 16/2017/7104 País de prioridad: España Fecha de prioridad: 29/11/2017 Entidad titular: FIBHGM-UC3M Países a los que se ha extendido: España Empresa/s que la están explotando: SEDECAL Inventores (p.o. de firma): Jesús Carretero, Félix García, Alberto Sánchez, Carlos Gómez. Título: SIRTE: SIMULACIÓN DE LA INTERACCIÓN Y REPLANTEO DE TRAZADOS ELECTRIFICADOS &- PÓRTICOS Y AGUJAS. N. de solicitud: M004202/2013 País de prioridad: España Fecha de prioridad: 21/05/2013 Entidad titular: ADIF-UC3M Países a los que se ha extendido: España Empresa/s que la están explotando: ADIF Inventores (p.o. de firma): Jesús Carretero, Félix García, Alberto Sánchez, Carlos Gómez. Título: SYCE-SIMULACIÓN Y CÁLCULO ELÉCTRICO DE LA ELECTRIFICACIÓN FERROVIARIA. N. de solicitud: M004200/2013 País de prioridad: España Fecha de prioridad: 21/05/2013 Entidad titular: ADIF-UC3M Países a los que se ha extendido: España Empresa/s que la están explotando: ADIF
Inventores (p.o. de firma): Jesús Carretero, José D. García. Título: SGMM: Sistema de Gestión de Material Móvil N. de solicitud: M-005622/2013 País de prioridad: España Fecha de prioridad: 10/07/2013 Entidad titular: ADIF-UC3M Países a los que se ha extendido: España Empresa/s que la están explotando: ADIF Inventores (p.o. de firma): Jesús Carretero, Fernando Pérez, Pedro de Miguel, Félix García y Luis Alonso Título: ParFiSys N. de solicitud: 50, 869 País de prioridad: España Fecha de prioridad: 1996 Entidad titular: UPM Países a los que se ha extendido: Ámbito europeo Empresa/s que la están explotando: ETRI (Korea) Inventores (p.o. de firma): Santiago Rodríguez y Jesús Carretero Título: COES N. de solicitud: 51, 831 País de prioridad: España Fecha de prioridad: 1996 Entidad titular: UPM Países a los que se ha extendido: Ámbito mundial. Licencia GPL. Empresa/s que la están explotando: Software libre. Hay miles de usuarios
Estancias en Centros extranjeros
CLAVE: D = doctorado, P = postdoctoral, I = invitado, C = contratado, O = otras (especificar). Centro: Northwestern University Localidad: Chicago País USA Fecha: Mayo 1997 Duración (semanas): 60 Tema: Massively Parallel I/O for Clusters of Workstations Clave: I
Tramos Docentes y de Investigación
• Cinco tramos docentes correspondientes a los años 1989 a 2014, ambos inclusive. • Tres sexenios de investigación, desde 1993 hasta 2012.
Contribuciones a Congresos
Publicaciones en Congresos Internacionales
1. Evaluating a Data-Aware Scheduling Approach to Reduce Processing Costs of DMCF
Workflows, F. Marozzo, F. Rodrigo-Duro, J. Garcia-Blas, J. Carretero, D. Talia, and P. Trunfio, in The 2017 International Conference on High Performance Computing & Simulation (HPCS 2017), 2017.
2. Architecture for the Execution of Tasks in Apache Spark in Heterogeneous Environments, E. Serrano, J. Garcia-Blas, J. Carretero, and M. Abella, in Euro-Par 2016: Parallel Processing Workshops: Euro-Par 2016 International Workshops, 2017, pp. 504-515.
3. Medical Imaging Processing on a Big Data platform using Python: Experiences with Heterogeneous and Homogeneous Architectures, E. Serrano, J. Garcia-Blas, J. Carretero, and M. Abella, in 17th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (CCGrid 2017), 2017.
4. Exploring a Distributed Iterative Reconstructor Based on Split Bregman Using PETSc, E. Serrano, T. V. Aa, R. Wuyts, J. Garcia-Blas, J. Carretero, and M. Abella, in 16th International conference on Algorithms and Architectures for Parallel Processing, UCER Workshop, 2016, pp. 191-200.
5. Porting Matlab applications to high-performance C++ codes: CPU/GPU-accelerated spherical deconvolution of diffusion MRI data, J. Garcia-Blas, M. F. Dolz, J. D. Garcia, J. Carretero, A. Daducci, Y. Alemán, and E. J. Canales-Rodríguez, in ICA3PP: 16th International Conference on Algorithms and Architectures for Parallel Processing, 2016, pp. 630-643.
6. Cost-benefit Analysis and Exploration of Cost-energy-Performance Trade-offs in Scientific Computing Infrastructures, P. Llopis, G. G. Castañé, and J. Carretero, in International Conference on Computational Science 2016, 2016, pp. 2256-2260.
7. Design and Evaluation of a Parallel and Multi-Platform Cone-Beam X-Ray Simulation Framework, Estefania Serrano, Javier Garcia Blas, Claudia Molina, Ines Garcia, Jesus Carretero, Manuel Desco and Monica Abella, 4th International Conference on Image Formation in X-Ray Computed Tomography, Bamberg, Germany, July, 2016.
8. Flexible Data-Aware Scheduling for Workflows over an In-Memory Object Store. Francisco Rodrigo Duro, Javier Garcia Blas, Florin Isaila, Jesus Carretero, Justin M. Wozniak, and Robert Ross. 16th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing CCGrid 2016. May 2016. Colombia.
9. CLARISSE: a middleware for data-staging coordination and control on large-scale HPC platforms. F. Isaila, J. Carretero, R. Ross. 16th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing CCGrid 2016. May 2016. Colombia.
10. QuizMonitor: a learning platform that leverages student monitoring, Carlos Gómez, David E. Singh, Jesús Carretero, IEEE Global Engineering Education Conference (EDUCON), Abu Dhabi, UAE, April, 2016.
11. A Survey on Data-Centric and Data-Aware Techniques for Large Scale Infrastructures, Silvina Caíno-Lores and Jesús Carretero, 18th International Conference on Computer and Information Sciences (ICCIS 2016), Dubai, UAE, March, 2016.
12. Improving the energy efficiency of MPI applications by means of malleability. Manuel Rodríguez-Gonzalo, David E. Singh, Javier García Blas and Jesús Carretero. 24th Euromicro International Conference on Parallel, Distributed and Network-based Processing (PDP 2016). Heraklion - Greece. February, 2016. Pp: 627-634.
13. Florin Isaila, Jesus Carretero. Making the case for data staging coordination and control for parallel applications. In Workshop on Exascale MPI at Supercomputing Conference 2015.
14. Francisco Rodrigo Duro, Javier Garcia Blas, Florin Isaila, Jesus Carretero. Experimental evaluation of a flexible I/O architecture for accelerating workflow engines in cloud environments. Proceedings of the 2015 International Workshop on Data-Intensive Scalable Computing Systems, DISKS '15, at Supercomputing Conference 2015.
15. Alberto García Fernández, Silvina Caíno Lores, Félix García-Carballeira, Jesús Carretero Pérez, A Multi-Objective Simulator for Optimal Power Dimensioning on Electric Railways using Cloud Computing, Proceedings of the 5th International Conference on Simulation and Modeling Methodologies, Technologies and Applications (SIMULTECH 2015), Kolmar, France, July, 2015.
16. Francisco Rodrigo Duro, Javier Garcia Blas, Florin Isaila, Justin Wozniak, Jesus Carretero, Rob Ross. Exploiting data locality in Swift/T workflows using Hercules, Proceedings of the First International Workshop on Sustainable Ultrascale Computing Systems (NESUS 2014), Porto, Portugal, December, 2014, 978-84-617-22.
17. Jose Luis Gonzalez, Victor J. Sosa-Sosa, Jesus Carretero, Luis Miguel Sánchez, Content Delivery and Sharing in Federated Cloud Storage, Porto, Portugal, January, 2014, First International Workshop on Sustainable Ultrascale Computing Systems (NESUS 2014), 49-55.
18. Silvina Caíno Lores, Alberto García Fernández, Félix García-Carballeira, Jesús Carretero Pérez. A Cloudification Methodology for Numerical Simulations, Euro-Par 2014: Parallel Processing Workshops, Part II, Porto, Portugal, August, 2014, Springer, 978-3-319-143, 375-386.
19. Jesus Carretero, Carlos Gomez, Alberto Garcia and Felix Garcia-Carballeira, A Holistic Approach to Railway Engineering Design Using a Simulation Framework, Proceedings of the 4th International Conference on Simulation and Modeling Methodologies, Technologies and Applications (SIMULTECH 2014), Vienna, Austria, August, 2014.
20. Stefano Chessa and Soledad Escolar and Susanna Pelagatti and Jesus Carretero. Routing with Virtual Coordinates in Mobile Sensor Networks. 19th IEEE Symposium on Computers and Communications (IEEE ISCC 2014). , Madeira., Portugal., June, 2014.
21. Estefania Serrano, Guzman Bermejo, Javier Garcia Blas, Jesus Carretero, Evaluation of the feasibility of making large-scale X-ray tomography reconstructions on clouds, C4Bio 2014 workshop, CCGrid 2014, Chicago, USA, March, 2014.
22. Alberto García, Carlos Gómez, Félix García-Carballeira, Jesús Carretero, Enhancing the Structure of Railway Infrastructure Simulators, International Conference on Engineering and Applied Sciences Optimization (OPT-i), Kos, Greece, June, 2014,
23. Guzman Bermejo, Estefania Serrano, Javier Garcia Blas and Jesus Carretero, High-performance X-ray tomography reconstruction algorithm based on heterogeneous accelerated computing systems, Second International Workshop on Parallelism in Bioinformatics, IEEE Cluster 2014, Madrid, Spain, July, 2014,
24. Jesus Carretero, Javier Garcia Blas, David E. Singh, Florin Isaila, Thomas Fahringer, Radu Prodan, George Bosilca, Alexey Lastovetsky, Christi Symeonidou, Horacio Perez-Sanchez, Jose M. Cecilia, Optimizations to enhance of MPI applications, International Workshop on
Enhancing Parallel Scientific Applications with Accelerated HPC (ESAA 2014), EuroMPI/Asia 2014, Kyoto, Japan, July, 2014,
25. Pablo Llopis, Javier Garcia Blas, Florin Isaila, Jesus Carretero, VIDAS: Object-based Virtualized Data Sharing for High Performance Storage I/O, 4th Workshop on Scientific Cloud Computing (ScienceCloud) 2013, New York, USA, June, 2013.
26. Alejandro Calderón, Jesús Carretero, Felix García-Carballeira, Javier Fernandez, Daniel Higuero, and Borja Bergua. Improving MPI applications with a new MPI_Info and the use of the memoization, Madrid, Spain, September, 2013, Proceedings of the 20th European MPI Users' Group Meeting, ACM, 978-1-4503-19, 7-12, http://doi.acm.org/10.1145/2488551.2488554
27. Javier Garcia Blas, Monica Abella, Ernesto Liria, Florin Isaila, Jesus Carretero and Manuel Desco, Parallel Implementation of a X-ray Tomography Reconstruction Algorithm based on MPI and CUDA, EuroMPI 2013 Proceedings of the 20th European MPI Users Group Meeting, Madrid, Spain, September, 2013, 217-222 , http://dl.acm.org/citation.cfm?id=2488589
28. J. Fernandez, J. Crespo, R. Barber, J. Carretero, Design and Implementation of Software Components For a Remote Laboratory , 7th International Technology, Education and Development Conference, Valencia, Spain, March, 2013, International Association of Technology, Education and Development (IATED), 978-84-616-26, 6448-6458.
29. , Gonzalo Martín, Maria-Cristina Marinescu, David E. Singh and Jesús Carretero, Parallel algorithm for simulating the spatial transmission on Influenza in EpiGraphInternational Workshop on Parallelism in Bioinformatics, in The 20th European MPI Users Group Meeting - EuroMPI, Madrid, Spain, September, 2013.
30. Gonzalo Martín, Maria-Cristina Marinescu, David E. Singh and Jesús Carretero, FLEX-MPI: an extension to MPI for supporting dynamic load balancing on heterogeneous non-dedicated systems, EuroPar, Aachen, Germany, August, 2013, http://link.springer.com/chapter/10.1007/978-3-642-40047-6_16.
31. Soledad Escolar, Stefano Chessa, and Jesús Carretero,Energy Management of Networked, Solar Cells Powered, Wireless Sensors, The 16th ACM/IEEE International Conference on Modeling, Analysis and Simulation of Wireless and Mobile Systems , Barcelona, Spain, November, 2013, ACM, 978-1-4503-23, pages 263--266, http://doi.acm.org/10.1145/2507924.2507991.
32. J. L. Gonzalez, Victor Sosa-Sosay, Borja Bergua, Luis Miguel Sanchez and Jesus Carretero, Fault-Tolerant Middleware Based on Multistream Pipeline for Private Storage Services, 7th International Conference for Internet Technology and Secured Transactions (ICITST-2012) , Londres, UK, December, 2012
33. Juan Manuel Tirado, Daniel Higuero, Florin Isaila, Jesus Carretero, Reconciling dynamic system sizing and content locality through hierarchical workload forecasting, Proceedings of 18th IEEE International Conference on Parallel and Distributed Systems, Singapur, December, 2012, ICPADS 2012, IEEE Computer Society, 1521-9097, 77-84
34. Juan M. Tirado, Anne-Marie Kermarrec, Francois Taïani, Florin Isaila and Jesus Carretero, Geology: Modular Georecommendation In Gossip-Based Social Networks, IEEE 32nd International Conference on Distributed Computing Systems, Macao, China, June, 2012, ICDCS 2012, 1063-6927, 637-646, conference
35. Gabriel G. Castañé, Alberto Nuñez, Rosa Filgueira, Jesús Carretero, Dimensioning Scientific Computing Systems to Improve Performance of Map-Reduce based Applications, Nebraska, USA, June, 2012, Proc. of The International
Conference on Computational Science , Procedia Computer Science series. Elsevier., 1877-0509, 226-235
36. Laura Prada, Alejandro Calderón, Javier García, J. Daniel García, Jesús Carretero, A Black Box Model for Storage Devices based on Probability Distributions, The 10th IEEE International Symposium on Parallel and Distributed Processing with Applications, Madrid, Spain, July, 2012.
37. Soledad Escolar, Stefano Chessa and Jesus Carretero, Optimization of Quality of Service in Wireless Sensor Networks Powered by Solar Cells, 10th IEEE International Symposium on Parallel and Distributed Processing with Applications (ISPA-12) , Madrid, España, July, 2012.
38. Stefano Chessa and Soledad Escolar and Susanna Pelagatti and Paolo Baronti and Jesus Carretero, Guaranteed-Delivery in Arbitrary Dimensional Wireless Sensor Networks by means of Recursive Virtual Coordinates, 17th IEEE Symposium on Computers and Communications (IEEE ISCC 2012), Cappadocia, Turkey, July, 2012.
39. Daniel Higuero, Juan M. Tirado, Florin Isaila, Jesus Carretero, Enhancing file transfer scheduling and server utilization in data distribution infrastructures, 20th IEEE International Symposium on Modelling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS 2012), August, 2012.
40. Ruben Saa, Alberto Garcia, Carlos Gomez, Felix Garcia-Carballeira and Jesus Carretero, A High-productivity Computational Tool to Model and Calculate Railway Catenary Support Structures, The 2012 International Conference of Computer Science and Engineering, 2, London, United Kingdom, July, 2012, Proceedings of the World Congress on Engineering, 978-988-19251, 2078-0966, Best Student Paper Award of The 2012 International Conference of Computer Science and Engineering.
41. Juan M. Tirado, Daniel Higuero, Florin Isaila, Jesus Carretero. Multi-model prediction for enhancing content locality in elastic server infrastructures, 18th annual IEEE International Conference on High Performance Computing (HiPC 2011), Bangalore, India, December, 2011.
42. Juan M. Tirado, Daniel Higuero, Florin Isaila, Jesus Carretero. Predictive Data Grouping and Placement for Cloud-based Elastic Server Infrastructures, 2011 11th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (CCGrid), Newport Beach, USA, May, 2011, 285 -294.
43. Juan M. Tirado, Daniel Higuero, Florin Isaila, Jesus Carretero. Analyzing the Impact of Events in an Online Music Community, Proceedings of the 4th Workshop on Social Network Systems, Salzburg, Austria, April, 2011, 978-1-4503-07, 6:1-6:6.
44. Laura Prada, Javier Garcia, J. Daniel Garcia, Jesus Carretero, Alberto Nuñez. A Power-aware Based Storage Architecture for High Performance Computing, The 13th International Conference on High Performance and Communications (HPCC), Banff, Canada, September, 2011.
45. Gonzalo Martin, Maria-Cristina Marinescu, David E. Singh and Jesus Carretero. EpiGraph: A Scalable Simulation Tool for Epidemiological Studies BIOCOMP11: The 2011 International Conference on Bioinformatics and Computational Biology, 2, Las Vegas, USA, July, 2011, Proceedings of the 2011 International Conference on Bioinformatics and Computational Biology, 529-537.
46. Samir Ammenouche, David E. Singh, Jesús Carretero and William Jalby. Software Prefetch on Core Micro-Architecture Applied to Irregular Codes, The 2011 International Conference on High Performance Computing & Simulation (HPCS 2011), Istanbul, Turkey, July, 2011, IEEE.
47. Soledad Escolar, Stefano Chessa, Jesús Carretero. Cross-Layer Optimization of Low Power Listening MAC Protocols for Wireless Sensor Networks, The Sixteenth IEEE Symposium on Computers and Communications, Corfú (Kerkyra), Grecia, July, 2011, 978-1-4577-06, 684-691.
48. Alberto Núñez, Javier Fernández, Jesús Carretero, Laura Prada and Mario Blaum. Optimizing distributed architectures to improve performance on checkpointing applications, The 13th International Conference on High Performance and Communications (HPCC), Banff, Alberta, Canada, September, 2011, IEEE, 487-492.
49. Alberto Núñez, Javier Fernández and Jesús Carretero. New Contributions for Simulating Large Distributed Systems. DS-RT 2010, The 14th IEEE/ACM International Symposium on Distributed Simulation and Real Time Applications, Fairfax, Virginia, USA, October, 2010.
50. Laura Prada, Jose Daniel Garcia, Jesus Carretero. Using Write Buffering and Read Prefetching Between Flash and Disk Drives to Save Energy in an Hybrid Systems. 16th International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA 2010), July, 2010.
51. , Laura Prada, Jose Daniel García, Jesús Carretero, Javier García Blas. Power Saving-aware Solution for SSD-based Systems International Conference on Mathematical Methods in Science and Engineering (CMMSE 2010), Almería, Spain, June, 2010.
52. Borja Bergua-Guerra, Félix García-Carballeira, Luis Miguel Sánchez, Alejandro Calderón, Alejandra Rodríguez, Jesús Carretero, Architecture for improving data transfers in Grid using the Expand parallel file system, 3rd Iberian Grid Infraestructure Conference (IBERGRID'2009), May 20-22, Valencia, Spain, May, 2009.
53. Javier García Blas, Florin Isaila, Jesús Carretero, Robert Latham and Robert Ross, Multiple-level MPI file write-back and prefetching for Blue Gene systems, 16th EuroPVM/MPI, Finland, September, 2009.
54. Javier García Blas, Florin Isaila and Jesús Carretero, A General Parallel I/O Architecture for Clusters and Supercomputers, IEEE International Parallel & Distributed Processing Symposium (IPDPS), TCPP PhD Forum, Rome, Italy, May, 2009, conference
55. Florin Isaila, Francisco Javier Garcia Blas, Jesus Carretero, Rob Latham, Sam Lang, Rob Ross, Latency hiding file I/O for Blue Gene systems, Nineth IEEE International Symposium on Cluster Computing and the Grid (CCGRID), Shanghai, May, 2009
56. Laura Prada, Jose Daniel Garcia, Jesus Carretero, and Felix Garcia, Saving power in flash and disk hybrid storage system, MASCOTS 2009, London, England, September, 2009, Proceedings of the 17th Annual Meeting of the IEEE/ACM International Symposium on Modelling, Analysis and Simulation of Computer and Telecommunication Systems, 978-1-4244-49, 632-634
57. Alejandra Rodriguez, Jesus Carretero, Borja Bergua, Felix Garcia Carballeira, Resource Selection for Fast Large-Scale Virtual Appliances Propagation, 14th IEEE Symposium on Computers and Communications Program (ISCC'09), July 5-8, Sousse, Tunisia, July, 2009
58. Alejandra Rodriguez, Jesus Carretero, Alberto Nunez, Borja Bergua, Felix Garcia, Jose-Daniel Garcia, An Efficient Deployment Strategy for Large Sets of Virtual Appliances, International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA'09), July 13-16, Las Vegas, Nevada, USA, July, 2009
59. Borja Bergua, Félix García-Carballeira, Alejandro Calderón, Luis Miguel Sánchez, Jesús Carretero, Improving the performance of the BOINC volunteer computing platform using the Expand parallel file system, 5th IEEE
International Conference on e-Science (e-Science 2009), December 9-11, Oxford, United Kingdom, December, 2009
60. Rosa Filgueira, David E. Singh, Alejandro Calderón, and Jesús Carretero., CoMPI: Enhancing MPI based applications performance and scalability using run-time compression, . Euro PVM/MPI 2009. Espoo, Finland, January, 2009.
61. B. Bergua, F. Garcia, A. Calderón, L. M. Sánchez, J. Carretero. Comparing grid data transfer technologies in the Expand parallel file system. 16th Euromicro International Conference on Parallel, Distributed and network-based Processing, PDP-2008. 13-15 de febrero, Toulouse, Francia. , 2008.
62. Alberto Núñez, Javier Fernández, Jesús Carretero, J. D. García and Laura Prada. New Techniques for Modelling File Data Distribution on Storage Nodes. 41th Annual Simulation Symposium, Ottawa, Canada. Abril, 2008. ANSS 2008. Págs. 8 pages.
63. Alberto Núñez, Javier Fernández, Jesús Carretero, Jose D. García, and Laura Prada. SIMCAN: A Simulator Framework for Computer Architectures and Storage Networks. First International Conference on Simulation Tools and Techniques for Communications, Networks and Systems, Marseille, France. Marzo, 2008. SIMUTools 2008. ISBN: 978-963-9799-. Págs. 8 pages.
64. Alberto Núñez, Javier Fernández, Jose D. García, Laura Prada, Jesús Carretero. M-PLAT: Multi-Programming Language Adaptive Tutor. The 8th IEEE International Conference on Advanced Learning Technologies, Santander, Spain. Julio, 2008. ICALT 08. Págs. 3 pages.
65. Jose Daniel Garcia, Laura Prada, Javier Fernandez, Jesus Carretero, Alberto Nunez. Using black-box modeling techniques for modern disk drives service time simulation.. The 41th Annual Simulation Symposium (ANSS'08), Abril, 2008. Proccedings of the 41th Annual Simulation Symposium.
66. Soledad Escolar, Jesús Carretero, Florin Isaila and Giacomo Tartari. A MDA-based development framework for sensor networks applications. 4th IEEE International Conference on Distributed Computing on Sensor Systems, Santorini Island, Greece. Junio, 2008. ISSN: 0302-9743.
67. Soledad Escolar, Jesús Carretero, Florin Isaila, Stefano Lama. A lightweight storage system for sensor nodes. Int. Conference on Parallel and Distributed Processing Tecniques and Application PDPTA'08, Vol. Volume II, Las Vegas, Nevada, USA. Julio, 2008. ISBN: 1-60132-082-5. Págs. 638-644.
68. Juan C. Pichel, David E. Singh and Jesús Carretero. Reordering Algorithms for Increasing Locality on Multicore Processors. 10th IEEE Int. Conference on High Performance Computing and Communications (HPCC), Dalian, China. Septiembre, 2008.
69. Rosa Filgueira, David E. Singh, Juan C. Pichel, Jesús Carretero.. Exploiting Data Compression in Collective I/O Techniques.. IEEE International Conference on Cluster Computing, HiperIO Workshop (CLUSTER), Tsukuba, Japan. Septiembre, 2008. Proceedings. IEEE,
70. Alejandra Rodríguez, Javier Fernández, Jesús Carretero. Model for on-demand virtual computing architectures - OVCA. Computers and Communications, 2008. ISCC 2008. IEEE Symposium on, Marrakech, Moroco. Septiembre, 2008. ISBN: 978-1-4244-27. ISSN: 1530-1346. Págs. 447 - 454.
71. Alberto Núñez, Javier Fernández, Jose D. García and Jesús Carretero. Analyzing Scalable High-Performance I/O Architectures. PDPTA'08, The 2008 International Conference
on Parallel and Distributed Processing Techniques and Applications, Las Vegas, Nevada (USA). Julio, 2008. Proceedings of The 2008 International Conference on Parallel and Distributed Processin Techniques and Applications. ISBN: 1-60132-082-5. Págs. 631-637.
72. Alberto Núñez, Javier Fernández, Jose D. García and Jesús Carretero. New techniques for simulating high performance MPI applications on large storage networks. IEEE Cluster 2008, Tsukuba, Japan. Octubre, 2008. Proceedings of the 2008 IEEE International Conference on Cluster Computing.. Págs. 1-9.
73. Rosa Filgueira, David E. Singh, Juan C. Pichel, Florin Isaila and Jesús Carretero. Data locality aware strategy for Two-Phase Collective I/O. International Meeting High Performance Computing for Computational Science (VECPAR), Toulouse, France. , 2008. Lecture Notes in Computer Science.. Springer-Verlag Editorial.,
74. Javier García Blas, Florin Isaila, David E. Singh and Jesús Carretero. View-based collective I/O for MPI-IO. IEEE International Symposium on Cluster Computing and the Grid (CCGRID), Lyon, France. , 2008.
75. Javier García Blas, Florin Isaila, Jesús Carretero and Thomas Grossemann. Implementation and evaluation of an MPI-IO interface for GPFS in ROMIO. The 15th Euro PVM/MPI 2008 conference, Dublin, Ireland. Septiembre, 2008.
76. Javier García Blas, Florin Isaila, Jesús Carretero. WiP-FAST08 View-based collective I/O for MPI-IO. 6th USENIX Conference on File and Storage Technologies (FAST '08), San Jose, California, EEUU. , 2008.
77. Florin Isaila, Javier Garcia Blas, Jesus Carretero, Wei-keng Liao, Alok Choudhary. AHPIOS: An MPI-based ad-hoc parallel I/O system. 14th Intl Conference on Parallel and Distributed Systems, Melbourne, AUSTRALIA. , 2008.
78. Javier García Blas, Florin Isaila, Jesús Carretero. A view-based approach for collective I/O operations. Transnational Access Meeting 2008, Bologna, Italy. Junio, 2008.
79. Rosa Filgueira, David E. Singh, Antonio García, Florin Isaila and Jesús Carretero. Optimization and evaluation of parallel I/O in BIPS3D parallel irregular application. IEEE International Parallel & Distributed Processing Symposium (IPDPS), California, USA. , 2007.
80. Soledad Escolar, Jesús Carretero, Florin Isaila, Félix García. A driver model based on Linux for TinyOS. IEEE Second International Symposium on Industrial Embedded Systems [SIES'2007], Lisboa, Portugal. Julio, 2007. ISBN: 1-4244-0840-7.
81. David E. Singh, Alejandro Miguel, Félix García and Jesús Carretero. MASIPE: A tool based on mobile agents for monitoring parallel environments. International Conference on Parallel Processing and Applied Mathematics (PPAM'07), Poland. , 2007. Lecture Notes in Computer Science.. Springer--Verlag Editorial.
82. D. E. Singh, F. Isaila, J. C. Pichel and J. Carretero. “A Collective I/O Implementation Based on Inspector-Executor Paradigm”. Workshop on Scalable Data Management Applications and Systems (SDMAS) of the 2007 International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA'07),Las Vegas, USA, 2007.
83. J. D. García, L. Prada, J. Carretero, F. García, J. Fernández, L. M. Sánchez, “Dispatching Requests in Partially Replicated Web Clusters - An Adaptation of the LARD Algortihm”, Third International Conference on Web Information Systems and Technologies (WEBIST 2007). Proceedings on Internet Technology, pp. 141--149. ISBN: 978-972-8865-77-1. Barcelona, España Fecha: Marzo de 2007.
84. F. Isaila, D. Singh, J. Carretero, F. García. “On evaluating decentralized parallel I/O scheduling strategies for parallel file systems”. Lecture Notes in Computer Science.
Revisión posterior al congreso VEPCR’06, 7th International Meeting on High Performance Computing for Computacional Science. 2007.
85. J. D. García, L. Prada, J. Carretero, F. García, J. Fernández, L. M. Sánchez. “Dispatching Requests in Partially Replicated Web Clusters - An Adaptation of the LARD Algorithm”. Third International Conference on Web Information Systems and Technologies (WEBIST2007). Barcelona, España, marzo, 2007. Proceedings on Internet Technology, pp. 141–149. ISBN: 978-972-8865-77-1.
86. D. E. Singh, F. Isaila, A. Calderón, F. García, J. Carretero. Multiple-Phase Collective I/O Technique for improving data access locality. 15th Euromicro International Conference on Parallel, Distributed and Network-based Processing, PDP 2007. Napoles, Italia, 7-9 de febrero de 2007.
87. M. Soledad Escolar, J. Carretero, F. Isaila, F. García. Deconstructing the Wireless Sensor Networks ArchitectureIEEE Symposium on Industrial Embedded Systems, IES' 2006. Antibes, Juan-Les-Pins, Francia. 18-20 de octubre 2006.
88. Florin Isaila, Jesus Carretero, Felix García-Carballeira. “On evaluating decentralized parallel I/O scheduling strategies for parallel file systems”. VECPAR 2006 (7th International Meeting on high performance computing for computational science). Rio de Janeiro, Brazil, July 2006
89. J. Fernández, J. Carretero, F. García, A. Calderón and J. D. García. “Disk Scheduling Proposal for an In-Band Bandwidth Virtualization Schema” 2006 International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA'06). Proceedings of the 2006 International Conference on Parallel and Distributed Processing Techniques andApplications, Vol. II, 669–675. ISBN: 1-932415-86-6. June 26-29, 2006, Las Vegas, USA.
90. J.D. Garcia, J. Carretero, F. García, “A Quantitative Justification to Partial Replication of Web Contents”. Aceptado para publicación en The 2006 International Conference on Computational Science and its Applications (ICCSA 2006). Glasgow 8. 11 May 2006.
91. L.M Sanchez, F. García, J.Carretero, D. Exposito. “A New I/O Architecture for Improving the Performance in Large Scale Clusters”. Aceptado para publicación en Parallel and Distributed Computing Workshop of ICCSA (The 2006 International Conference on Computational Science and its Applications (ICCSA 2006). Glasgow 8. 11 May 2006.
92. Florin Isaila, David Singh, Jesus Carretero, Felix Garcia, Gabor Szeder, Thomas Moschny, “Integrating logical and physical file models in the MPI-IO implementation of Clusterfile”. IEEE International Symposium on Cluster Computing and the Grid. CCGrid2006. Singapur 16-19 Mayo 2006.
93. Jose Daniel Garcia, Jesus Carretero, Felix Garcia, Alejandro Calderon, Javier Fernandez, David E. Singh. “On the Reliability of Web Clusters with Partial Replication of Contents”. The First International Conference on Availability, Reliability and Security (ARES 2006). April, 20th - April, 22nd 2006, Vienna University of Technology, Austria
94. Javier Fernandez, Jesús Carretero, Felix García-Carballeira, Alejandro Calderón, and Jose Maria Perez . “New Stream Caching Schemas for Multimedia Systems”. 1st International Conference on Automated Production of Cross Media Content for Multi-Channel Distribution”. Axmedis 2005. Florencia, Italia, 30 de nov al 2 de dic de 2005.
95. J. Carretero, J. Fernandez, A. Calderon, F. García-Carballeira. “High Availability Considerations for a Distributed Computer-Based Railway Information System”. HADIS 2005, First International Workshop on High Availability of Distributed Systems. 22-26 de agosto. Copenhague. Dinamarca.
96. David E. Singh, Felix Garcia-Carballeira, Jesus Carretero. Parallel I/O optimization for an air pollution model. Aceptado para publicación en Parallel Computing 2005 (Parco2005). 13-16 de septiembre de 2005 Malaga. Spain
97. L.M. Sánchez, J.M. Perez, F. García-Carballeira, A. Calderón, J. Carretero. “High Performance Java Input/Output for Heterogeneous Distributed Computing”. 10th IEEE Symposium on Computers and Communications / ISCC 2005. Pp. 969-974. La Manga del Mar Menor, Cartagena, España. Junio 27-30, 2005
98. Calderón, F. García., J. Carretero, L.M. Sánchez. “A Fault Tolerant MPI-IO implementation using the Expand Parallel File System”. Aceptado para publicación en 13th Euromicro Conference on Parallel, Distributed and Network-based Processing, PDP 2005. 9-11 de febrero de 2005. Suiza.
99. Jesus Carretero, Javier Fernandez, Alejandro Calderon, Felix Garcia-Carballeira. “Distributed Event-Drive Web Monitoring and control: A practical case with Remote Train Station Information Systems (RTSIS)”. 2nd IEEE International Conference on Industrial Informatics INDIN’04. 24-26 de Junio, 2004. Berlín, Alemania.
100. J. D. García, J. Carretero, J.M. Pérez, F. García. “A model for use case priorization using criticality analysis”. The 2004 International Conference on Computational Science and its Applications (ICCSA2004). Assisi (Perugia, Italy) May 14 - May 17, 2004
101. Calderón, F. García, J. Carretero, L.M. Sánchez, J. M. Pérez. “File schemes for fault tolerant in heterogeneous distributed storage systems”. New Trends in Distributed Data Access in conjunction with the International Conference on Parallel and distributed Processing Technologies and Applications (PDPTA 2004), Las Vegas, Nevada, USA (June 21 - 24, 2004).
102. M.S. Pérez, A. Sánchez, J.M. Peña, V. Robles, J. Carretero, F. García. “Storage Groups: A New Approach for Providing Dynamic reconfiguration in Data-Based Clusters. Proceedings of the IASTED International Conference on Parallel and Distributed Computing and Networks. February 17-19, 2004, Innsbruck, Austria. Pp: 70-75.
103. Jesús Carretero, J.D. García, J. M. Pérez, F. García-Carballeira. “Reducing software maintenance cost using Reliability Centered Maintenance (RCM) and expert knowledge”. 10th ISPE International Conference on Concurrent Engineering: Research and Applications. Madeira Island - Portugal, 26 - 30 July, 2003
104. J. D. García, J. Carretero, J. M. Pérez. “Identified Association: A pattern for efficient link traversal in real-time GUI's”. International Conference on Computer, Communication and Control Technologies. CCCT'03. Proceedings of the International Conference on Computer, Communication and Control Technologies. CCCT'03, ISBN: 980-6560-05-1. Orlando, Florida, Julio 2003
105. José M. Pérez, Félix García, Jesús Carretero, Alejandro Calderón. “Towards a Data Driven Storage Infrastructure for Grids”. The 2003 International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA'03). Monte Carlo Resort, Las Vegas, Nevada, USA. June 23 - 26, 2003
106. J. Fernández, J. Carretero, F. García, J. M. Perez, A. Calderón, and J. J. Muñoz. “Video Forwarding Techniques for Mixed Wired and Wireless Networks”. The eighth IEEE Symposium on Computers and Communications (ISCC'2003). Kemer -Antalya, Turkey June 30 - July 3 2003
107. José M. Pérez, Félix García, Jesús Carretero, Alejandro Calderón, Luis M. Sánchez. “Data Allocation and Load Balancing for Heterogeneous Cluster Storage Systems. Workshop on Parallel I/O in Cluster Computing and Computational Grids. Proceedings of the Third IEEE/ACM International Symposium on Cluster Computing and the Grid. 12-15 May 2003, Tokyo, Japan.
108. José M. Pérez, Jesús Carretero, Félix García, José Daniel García, Alejandro Calderón, Luis M. Sánchez. “Expanding Windows Kernel to Integrate Heterogeneous Storage Resources on Data Grids”. 7th World Multiconference on Systemics, Cybernetics and Informatics (SCI 2003) to be held in Orlando, USA, in July 27-30, 2003
109. José Daniel García, Jesús Carretero, José María Pérez, Félix García, “A distributed Web switch for partially replicated contents”. l 7th World Multiconference on Systemics, Cybernetics and Informatics (SCI 2003) to be held in Orlando, USA, in July 27-30, 2003
110. María S. Pérez, Jesús Carretero, Félix García, José M. Peña and Víctor Robles. “A Flexible Multiagent Parallel File System for Clusters”. International Workshop on Parallel I/O Managment Techniqueus (PIOMT'2003). In conjunction with the International Conference on Computational Science 2003 (ICCS'03). Melbourne, Victoria, Australia, June 2-4, 2003 .
111. María S. Pérez, Jesús Carretero, Félix García, José M. Peña and Víctor Robles. “MAPFS: A Flexible Infrastructure for Data-Intensive Grid Applications”. 1st European Accross Grids Conference. 13-14 de febrero de 2003. Santiago de Compostela. España
112. José M. Pérez, Félix García, Jesús Carretero, Alejandro Calderón, Javier Fernández. “A Parallel I/O Middleware to Integrate Heterogeneous Storage Resources on Grids”. 1st European Accross Grids Conference. 13-14 de febrero de 2003. Santiago de Compostela. España
113. Javier Fernández, Jesús Carretero, Félix Garcia, José M. Pérez, Alejandro Calderón. “Enhancing Multimedia Caching Algorithm Performance Through New Interval Definition Strategies”. 36th Annual Simulation Symposium 2003. Orlando, Florida. 30 de marzo-2de abril de 2003.
114. J. Fernández, J. Carretero, F. García, J.M. Pérez, A. Calderón. “A New Cache Management Algorithm for Multimedia Storage Systems”. 18th ACM Symposium on Applied Computing (SAC 2003). 9-12 marzo, 2003. Florida, EEUU.
115. J. Fernández, J. Carretero, F. García, J. M. Perez, A. Calderón, and J. J. Muñoz. “Video Forwarding Techniques for Mixed Wired and Wireless Networks”. The eighth IEEE Symposium on Computers and Communications (ISCC'2003). Kemer -Antalya, Turkey June 30 - July 3 2003
116. José M. Pérez, Félix García, Jesús Carretero, Alejandro Calderón, Luis M. Sánchez. “Data Allocation and Load Balancing for Heterogeneous Cluster Storage Systems. Aceptado para publicación en el Workshop on Parallel I/O
in Cluster Computing and Computational Grids. Proceedings of the Tirad IEEE/ACM International Symposium on Cluster Comtpugin and the Grid. 12-15 May 2003, Tokyo, Japan.
117. José M. Pérez, Jesús Carretero, Félix García, José Daniel García, Alejandro Calderón, Luis M. Sánchez. “Expanding Windows Kernel to Integrate Heterogeneous Storage Resources on Data Grids”. 7th World Multiconference on Systemics, Cybernetics and Informatics (SCI 2003) to be held in Orlando, USA, in July 27-30, 2003
118. José Daniel García, Jesús Carretero, José María Pérez, Félix García, “A distributed Web switch for partially replicated contents”. l 7th World Multiconference on Systemics, Cybernetics and Informatics (SCI 2003) to be held in Orlando, USA, in July 27-30, 2003
119. María S. Pérez, Jesús Carretero, Félix García, José M. Peña and Víctor Robles. “A Flexible Multiagent Parallel File System for Clusters”. International Workshop on Parallel I/O Managment Techniqueus (PIOMT'2003). In conjunction with the International Conference on Computational Science 2003 (ICCS'03). Melbourne, Victoria, Australia, June 2-4, 2003 . Publicado en Lecture Notes in Computer Science. Pp. 248-256. Enero 2003. Vol. 2660. Factor de impacto :0.390.
120. María S. Pérez, Jesús Carretero, Félix García, José M. Peña and Víctor Robles. “MAPFS: A Flexible Infrastructure for Data-Intensive Grid Applications”. Aceptado para publicación en el 1st European Accross Grids Conference. 13-14 de febrero de 2003. Santiago de Compostela. España
121. José M. Pérez, Félix García, Jesús Carretero, Alejandro Calderón, Javier Fernández. “A Parallel I/O Middleware to Integrate Heterogeneous Storage Resources on Grids”. Aceptado para publicación en el 1st European Accross Grids Conference. 13-14 de febrero de 2003. Santiago de Compostela. España
122. F. Garcia, A. Calderon, J. Carretero, J.M. Perez, J. Fernandez. "A Parallel and Fault Tolerant File System Based on NFS Server". Euromicro Conference on Parallel Distributed and Network based Processing Genova - Italy PDP2003.February, 5-7, 2003
123. J.D. García, J.M. Pérez, J. Carretero & F. García-Carballeira, “Reducing Software Maintenance Cost Using Reliability Centered Maintenance (RCM) and Expert Knowledge”, in “Concurrent Engineering: Advanced Design, Production and Management Systems”, 10th ISPE INTERNATIONAL CONFERENCE ON CONCURRENT ENGINEERING: RESEARCH AND APPLICATIONS, Madeira Island - Portugal, 26 - 30 JULY, 2003. pp. 379-386.
A. Calderón, F. Garcia, J. Carretero, J.M. Perez, J. Fernandez. “An Implementation of MPI-IO on Expand: A Parallel File System Based on NFS Servers”. 9th PVM/MPI European User´s Group. Johannes Kepler University Linz, Austria. 29 de septiembre – 2 de octubre de 2002.
124. M. Perez, R. Pons, F. Garcia, J. Carretero and M. Cordoba. “An optimization of Apriori algorithm through the usage of parallel I/O and hints”. The Third International Conference on Rough Sets and Current Trends in Computing. October 14-16, 2002 . Penn State Great Valley. Malvern, EEUU.
125. F. García, A. Calderón, J. Carretero, J. Fernández, J. M. Pérez. “An Expandable Parallel File System Using NFS servers”. VECPAR 2002, 5th
International Meeting on High Performance Computing for Computational Science. 26-28 junio 2002. Oporto, Portugal.
126. F. García, A. Calderón, J. Carretero, J. Fernández, J.M. Pérez. “A New Approach to the Construction of Parallel File Systems for Clusters”. International Conference on Advances in Infrastructure for Electronic Business, Education Science, Medicine, and Mobile Technologies on the Internet (SSGRR 2002s). L’ Aquila, Italia. 29 de julio 4 de agosto 2002.
127. F. García, A. Calderón, M.S. Pérez, L.M. Sánchez. “Evaluating Expand: A Parallel File System Using NFS Servers”. Proceedings of the 6th World Multiconference on Systemics, Cybernetics and Informatics. Julio 14-18, 2002. Orlando, Florida, EEUU. Pp. 80-85. ISBN: 980-07-8150-1
128. J. Carretero, J. Fernández, J.M. Pérez, F. Garcia. “Distributed Instrumentation Systems Using CORBA”. Proceedings of the 6th World Multiconference on Systemics, Cybernetics and Informatics. Julio 14-18, 2002. Orlando, Florida, EEUU. Pp. 86-91. ISBN: 980-07-8150-1
129. J. M. Pérez, J. Carretero, F. García, A. Calderón ; J. Fernández. ''Herramienta de optimización de mantenimiento para redes de infraestructuras'' Conferencia Iberoamericana en Sistemas, Cibernética e Informática CISCI 2002. Del 19 al 21 de Julio, 2002 Orlando, Florida, EEUU
130. F. García, A. Calderón, J. Carretero, J. Fernández, J. M. Pérez. “Parallel File System Based on NFS Servers for Heterogeneous Clusters”. 3rd ACIS International Conference on Software Engineering, Artificial Intelligence Networking and Parallel/Distributed Computing, SNPD’02. 26-28 junio 2002. Madrid. España.
131. M. S. Pérez, F. García, J. Carretero. “A proposal for I/O access profiles in parallel datamining algorithms”. 3rd ACIS International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, SNPD’02. 26-28 junio 2002. Madrid. España.
132. J. Carretero, J.M. Pérez, F. García, A. Calderón, J. Fernández. “Planning Preventive Maintenance in Railway Networks Using RCM”. Proceedings of the 6th International Scientific Conference on Work with Display Units (WWDU-2002). Berchtesgaden, Alemania, 22-25 de mayo de 2002.
133. M. S. Pérez, F. García, J. Carretero. “MAPFs_MAS: A Model of Interacttion “. CCGRID’2002, Second IEEE International Symposium on Cluster Computing and the Grid. Berlín, Germany, May 2002.
A. Calderón, F. García, J. Carretero, F. Fernández, O. Pérez. "New Techniques for Collective Communications in Clusters: a Case Study with MPI". 30th International Conference on Parallel Processing (ICPP-2001). Valencia, Spain, Sep. 2001. Pp. 185-192.
134. M.S. Pérez, F. García, J. Carretero. "A New MultiAgent Based Architecture for High Performance I/O in Clusters". Proceedings of the Second International Workshop on Metacomputing Systems and Applications (MSA'2001). Valencia, Spain, Sep. 2001. Pp. 201-206.
A. Calderón, F. García, J. M. Pérez. "High Performance Multimedia Data Delivery Techniques Using Lossless Compression". 5th World Multi-Conference on Systemics, Cybernetics and Informatics Proceedings, Vol. XII, Nagib Callaos, Antonio L. Teixeira, Kim Wong and Jesus Carretero (Eds.).. Orlando, USA, Jul, 2001. Pp. 339-344.
135. J. Carretero, J. Fernández, J. M. Pérez. "Dynamic Distribution and Allocation of Web Objects". 5th World Multi-Conference on Systemics, Cybernetics and Informatics Proceedings, Vol. XII, Nagib Callaos, Antonio L. Teixeira, Kim Wong and Jesus Carretero (Eds.). Orlando, USA, Jul. 2001. Pp. 345-350.
136. F. García, A. Calderón, J. Fernández, J. Carretero. “Evaluación de las Capacidades de Linux y Windows 2000 para su Utilización en Clusters de Estaciones de Trabajo”. XI Jornadas de Paralelismo, Granada, septiembre 2000.
137. R. Vega, F. Rosales, J. Carretero. “Propuesta y Evaluación de un Modelo de E/S Redundante para un Sistema de Ficheros Distribuido y Paralelo”. XXVI Conferencia Latinoamericana de Informática (CLEI 2001), Septiembre 2001, Tecnológico de Monterrey, Mexico.
138. R. Vega, F. Rosales, F. Pérez, J. Carretero. “Evaluación de un Modelo de E/S Redundante para un Sistema de Ficheros Distribuido y Paralelo”. Jornadas Chilenas de Computación 2000, Noviembre 2000, Universidad de Santiago, Chile.
139. F. García, A. Calderón, J. Carretero, “Evaluating MiMPI, a Multithread-Safe Implementation of MPI, on a Cluster of Workstations”, International Conference of Software Engineering Applied to Networking and Parallel/Distributed Computing, Reims, 18-21 Mayo 2000. Pp. 314-319
140. J. Carretero, J. Fernández, F. García, “Enhancing Parallel Multimedia Servers through New Hierarchical Disk Scheduling Algorithms”, VECPAR'2000, 4th International Meeting on Vector and Parallel Processing, Oporto, junio 2000.
141. F. García J. Carretero, A. Calderón “A Thread-Safe Implementation of MPI” Third World Multiconference on Systemics, Cybernetics and Informatics (SCI’99) and the Fifth International Conference on Information Systems Analysis and Synthesis (ISAS’99). Orlando, USA. July 31-August 4, 1999. Pp. 283-287
142. Jesus Carretero, Weiyu Zhu, and Alok Choudhary “Design and Evaluation of a Multimedia Integrated Parallel File System”, IEEE International Conference on Multimedia Computing and Systems ICMCS’99, Florence, Italy, June 7-11, 1999
143. Jesus Carretero, Weiyu Zhu, Xiaohui Shen, and Alok Choudhary MiPFS: A Multimedia Integrated Parallel File System International Joint Conference on Information Systems, October 23-28, 1998. Research Triangle, Raleigh, North carolina, USA A
144. Jesus Carretero, Weiyu Zhu, and Alok Choudhary “Hierarchical Scheduling for Disk I/O in an Integrated Environment” ISCA 14th International Conference on Computers and Their Applications, Cancún, Mexico, April 7-9 1999 A
145. Jaechun No, Jesus Carretero, Sung-soon Park, Alok Choudhary, and Pang Chen "Design and Implementation of a Parallel I/O Runtime System for Irregular Applications" IPPS’98, March, 1998, Orlando, USA A
146. Jesus Carretero, Jaechun No, Sung-soon Park, Alok Choudhary, and Pang Chen “COMPASSION: A Parallel I/O Runtime System including Chunking and Compression for Irregular Applications” HPCN’98, April, 1998, Amsterdam, The Netherlands A
147. Jesus Carretero, Jaechun No, and Alok Choudhary “Optimizing I/O for Irregular Applications on Distributed-Memory Machines” ACPC’99, February 16-19, Salzburg, Austria A
148. Jaechun No, Jesus Carretero, and Alok Choudhary High Performance Parallel I/O Schemes for Irregular Applications on Clusters of Workstations HPCN’99, 12-14 Abril, Amsterdam, Holanda A
149. F. García, J. Carretero, F. Pérez, P. de Miguel, and L. Alonso "Cache Coherence in Parallel and Distributed File Systems" in 5th EUROMICRO Workshop on Parallel and Distributed Processing. IEEE. London, January 1997. Pp. 60-65 A
150. S. Rodríguez, J. Carretero A Formal Approach to Spanish Grammar: the COES Tools Procesamiento del Lenguaje natural, Vol 19, Septiembre, 1996, pp. 118-127. Sociedad Española para el Procesamiento del Lenguaje Natural A
151. S. Rodríguez, J. Carretero "Building a Spanish Speller" Taller sobre Software de Libre Distribución, 1995, Universidad Carlos III de Madrid, Spain A
152. J. Carretero, F. Pérez, P. de Miguel, F. García, L. Alonso "A Multiprocessor Parallel Disk System Evaluation" International Conference on Decentralized and Distributed Systems Proceedings, Universitat de les Illes Balears (1993). ISBN 84-7632-166-X. Pp. 301-313 A
153. J. Carretero, F. Pérez, P. de Miguel, F. García, L. Alonso "A Multiprocessor Parallel Disk System Evaluation" Reprinted from the International Conference on Decentralized and Distributed Systems Proceedings, Elsevier Publisher (1993). ISBN 0-444-81791-3. Pp. 175-187 A
154. S. Arevalo, J. Carretero, et al. "A Fault Tolerant Server on MACH" Microprocessing and Microprogramming: EuroMicro-93 Conference Proceedings, North-Holland Publishers (1993). ISBN 0165-6074. Pp. 793-801 A
155. P. de Miguel, J. Carretero, F. García "Concurrent Disk System Simulation" ESPRIT Project P5404, GPMIMD WP11, European Union (1993). Pp. 35 TR
156. J. Carretero, P. Miguel, M. Nieto, A. Pérez, C. López. DIDAE: A Programming Model for Distributed Direct Access Systems ISMM Conference Proceedings. Acta Press (1991). ISBN 0-88986-147-1. Pp. 310-314 A.
157. J. Carretero, P. Miguel, M. Nieto, A. Pérez, C. López. A Programming Model for Distributed Systems Requiring Direct Access Input Output ISCIS VI Conference Proceedings. Elsevier Publishers (1991). ISBN 0-444-89067-X. Pp. 1005-1015 A.
158. P. de Miguel, J. Carretero, M. Nieto, C. López "Operating Systems and Programming Environments for Parallel Computers" ESPRIT Project P2528, Working Paper, SuperNode II, European Union (1991). Pp. 39.
159. F. Pérez, J. Carretero, L. Gómez, A. Pérez, J. Zamorano. Ada Mechanisms To Obtain Concurrency In G. K. S. En A. Alvárez (ed.), Ada: The Design Choice. Cambridge University Press (1989). ISBN 0-521-38130-4. Pp. 266-275 A
Publicaciones en Congresos Nacionales
1. Propuesta arquitectónica para la ejecución de tareas en Apache Spark para entornos heterogéneos, E. Serrano, J. Garcia-Blas, J. Carretero, and M. Abella, in XXVII Jornadas de Paralelismo (JP2016), 2016.
2. Migración portable y de altas prestaciones de aplicaciones Matlab a C++: deconvolución esférica de datos de resonancia magnética por difusión, J. Garcia-Blas, J. D. Garcia, M. F. Dolz, J. Carretero, Y. Aleman, and E. J. Canales-Rodriguez, in XXVII Jornadas de Paralelismo (JP2016), 2016.
3. Francisco J. Rodrigo, Javier Garcia Blas, Jesus Carretero,A hierarchical Storage Infrastructure for Mobile Cloud Computing, XXV Jornadas de Paralelismo, Valladolid, Spain, September, 2014.
4. Estefania Serrano, Guzman Bermejo, Javier Garcia Blas, Jesus Carretero, Reconstrucción tomográfica 3D de rayos X basada en aceleradores de alto rendimiento, XXV Jornadas de Paralelismo, Valladolid, Spain, September, 2014.
5. Silvina Caíno Lores, Alberto García Fernández, Félix García-Carballeira, Jesús Carretero Pérez, Breaking data dependences in numerical simulations using Map-Reduce, XXV Jornadas de Paralelismo, Valladolid, Spain, September, 2014.
6. Pablo Llopis Sanmillan, Javier Garcia Blas, Florin Isaila and Jesús Carretero, Object-based Data Sharing for High Performance Virtualized Systems, XXIV Jornadas de Paralelismo, Madrid, Spain, September, 2013.
7. Francisco J. Rodrigo, Javier Garcia Blas and Jesus Carretero, Design and implementation of a hierarchical parallel storage system, XXIV Jornadas de Paralelismo, Madrid, Spain, September, 2013.
8. Francisco J.Rodrigo, Javier García Blas, Florin Isaila y Jesús Carretero, MemcachedFS: sistema de ficheros para clusters basado en memoria distribuida, XXIII Jornadas de Paralelismo, Elche, Spain, September, 2012.
9. Javier García Blas, Sergio García Linares, Florin Isaila y Jesús Carretero. WIN-AHPIOS: Sistema de E/S paralela para plataformas Windows, XXI Jornadas de Paralelismo, Valencia, España, September, 2010.
10. Borja Bergua Guerra, Félix García Carballeira, Alejandro Calderón, Luis Miguel Sánchez y Jesús Carretero. Mejora del entorno de computación voluntaria BOINC usando el sistema de ficheros paralelo Expand, Valencia, Spain, September, 2010, XXI Jornadas de Paralelismo.
11. Laura Prada, Jose Daniel Garcia, Jesus Carretero, and Felix Garcia, Ahorro energético en un sistema de almacenamiento híbrido compuesto por un disco duro y varias memorias flash, La Coruña, Spain, September, 2009, Actas de las XX Jornadas de Paralelismo, 84-9749-346-8, 259-264
12. Javier García Blas, Florin Isaila y Jesús Carretero, Arquitectura de E/S paralela de alta prestaciones para sistemas Blue Gene, XX Jornadas de Paralelismo, A Coruña, Spain, September, 2009
13. Javier García Blas, Florin Isaila, Jesús Carretero. Implementación y evaluación de una interfaz para GPFS en ROMIO. XIX Jornadas de Paralelismo, N. 8. Castellón, España. Septiembre, 2008. Collecció e-Traballs d'Informática i Tecnologia.. Publicaciones de la Universidad Jaume I, ISBN: 978-84-8021-6.
14. Luis Miguel Sánchez,Borja Bergua,Alejandro Calderón,Félix García,Jesús Carretero. Descripción de una nueva arquitectura de E/S para grandes clusters. XIX Jornadas de Paralelismo, N. 8. Castellón, España. Septiembre, 2008. Collecció e-Traballs d'Informática i Tecnologia.. Publicaciones de la Universidad Jaume I, ISBN: 978-84-8021-6. Págs. 550-555.
15. Alejandra Rodríguez, Jesús Carretero. Gestión Dinámica de Infraestructuras Virtuales Sobre Entornos de Alto Rendimiento. XIX Jornadas de Paralelismo, N. 8. Castellón, España. Septiembre, 2008. Col-lecció e-Traballs d'Informática i Tecnologia. Publicacions de la Universitat Jaume I, ISBN: 978-84-8021-6. Págs. 527-531.
16. Rosa Filgueira, David E. Singh, Antonio J. García-Loureiro, Jesús Carretero. Mejora de la localidad en operaciones de E/S colectivas no contiguas. XVIII Jornadas de Paralelismo, Spain. , 2007.
17. Alberto Núñez, Javier Fernández, Jesús Carretero y Jose Daniel García. Nuevas Técnicas para Modelar la Distribución de los Datos de Ficheros en Nodos de Almacenamiento. II Congreso Español de Informática (CEDI 2007). XVIII Jornadas de Paralelismo, Vol. I, Zaragoza, Spain. Septiembre, 2007. ISBN: 84-9732-593-6. Págs. 455-462.
18. Borja Bergua, Félix García, Luis Miguel Sánchez, Alejandro Calderón, Jesús Carretero. Adaptación del sistema de ficheros paralelo Expand a entornos Grid. XVIII Jornadas de Paralelismo, Zaragoza, España. Septiembre, 2007.
19. L. Prada, J. D.l García, A. Núñez, J. Fernández, J. Carretero, R. J. Flores. “Modelado estocástico de las operaciones de entrada/salida sobre un disco”. II Congreso Español de Informática (CEDI 2007). XVIII Jornadas de Paralelismo. Zaragoza, España, septiembre, 2007. Vol I, pp. 479–485. ISBN: 978-84-9732-593-6
20. David E. Singh, Mª Blanca Ibáñez, Florin Isaila, Félix García-Carballeira, Jesús Carretero. “Optimizaciones de entrada/salida para aplicaciones de dinámica molecular”. XVI Jornadas de Paralelismo. Granada. Septiembre de 2005.
21. Jose. D. García-Sánchez, Jesús Carretero, Félix García, José María Pérez, María Soledad Escolar. “Asignación de réplicas en un Cluster Web basado en replicación parcial de contenidos”. XVI Jornadas de Paralelismo. Granada. Septiembre de 2005.
22. José María Pérez Menor, Félix García Carballeira, Jesús Carretero Pérez, José Daniel García Sánchez, Alejandro Calderón Mateos. “Incremento de prestaciones en el acceso a datos en Data Grids”. XVI Jornadas de Paralelismo. Granada. Septiembre de 2005.
23. L. M. Sánchez, J. M. Pérez, F. García, A. Calderón, J. Carretero. “Arquitectura escalable para E/S de altas prestaciones en sistemas heterogénsos”. XV Jornadas de Paralelismo. Almeria. Septiembre de 2004.
24. María Cruz Valiente, Gonzalo Génova, Jesús Carretero. Diseño formal de Sistemas Operativos de Tiempo Real con UML. XV Jornadas de Paralelismo. Almeria, España. September 2004. Actas de las XV Jornadas de Paralelismo, ISBN: 84-8240-714-7. Págs. 420-425
25. J. Carretero, F. García Carballeira, J. M Pérez, A. Calderón, J. Fernández, D. García, L.M. Miguel Sánchez García. “Impacto de la configuración del entorno en un sistema de ficheros paralelo”. XIV Jornadas de Paralelismo, Leganés, Madrid, septiembre 2003.
26. Calderón, F. García, J. Carretero, J. M. Pérez y J. Fernández. “Soporte de tolerancia a fallos en Expand”. XIV Jornadas de Paralelismo, Leganés, Madrid, septiembre 2003.
27. J. Fernández, J. Carretero, F. García, J. M. Pérez y A. Calderón. “Algoritmos de cache para sistemas multimedia integrados”. XIV Jornadas de Paralelismo, Leganés, Madrid, septiembre 2003.
28. V. Méndez, J. Carretero, F. García. “Añadiendo paralelismo en VFS apilable”. XIV Jornadas de Paralelismo, Leganés, Madrid, septiembre 2003.
29. J. M. Pérez, J. Carretero, F. García, A. Calderón, J. Fernández. “WinPFS: Windows Parallel File System”. XIV Jornadas de Paralelismo, Leganés, Madrid, septiembre 2003.
30. Calderón, F. García, J. Carretero, J.M. Pérez, J. Fernández. “Un sistema de ficheros paralelo y tolerante a fallos basado en servidores NFS. Pp: 305-310. XIII Jornadas de Paralelismo. Lleida 9-11 de septiembre de 2002. ISBN: 84-8409-159-7
31. M. S. Pérez, F. García, J. Carretero, J. M. Pérez. “Diseño de un Sistema de Ficheros Paralelo Multiagente para Clusters”. XII Jornadas de Paralelismo, Valencia, septiembre 2001. Pp: 45-50.
32. F. García, A. Calderón, J. Fernández, J. Carretero, “Evaluación de las capacidades de Linux y Windows 2000 para su utilización en clusters de estaciones de trabajo” , XI Jornadas de Paralelismo, Granada, septiembre 2000.
33. Coherencia de Cache en Sistemas de Ficheros Paralelos F. García, J. Carretero, F. Pérez, P. de Miguel, L. Alonso VII Jornadas de Paralelismo. Santiago de Compostela (1996). Pp. 215-228 A
34. Sistemas de Ficheros Paralelos J. Carretero Computadores Paralelos y Evaluación de Prestaciones. Colección Ciencia y Técnica. Editores: F. J. Quiles y Antonio Garrido. ISBN 84-89492-43-3. Albacete (1996). Pp. 31-51 A
35. CCFS: Sistema de Ficheros Paralelo para Máquinas Masivamente Paralelas F. García, J. Carretero, F. Pérez, P. de Miguel, L. Alonso IV Jornadas de Concurrencia. Universidad Politécnica de Madrid, Madrid (1995). Pp. 281-288 A
36. Evaluación de un Sistema de Ficheros Paralelo para Máquinas Masivamente Paralelas J. Carretero, F. Pérez, P. de Miguel, F. García, L. Alonso I Jornada de Informática. Universidad de la Laguna (Tenerife) (1995). ISBN MA-777/95. Pp. 555-567 A
37. Un Servidor de Tolerancia a Fallos sobre el Sistema Distribuido Mach S. Arévalo, J. Carretero III Jornadas de Concurrencia. Universidad Politécnica de Valencia, Valencia (1993). ISBN SPUPV-93.2024. Pp. 427-437 A
38. J. Carretero, S. Rodrguez Corrector Ortográfico de Libre Distribución Basado en Reglas de Derivación EGUTH’99 primer Encuentro del Grupo de Usuarios de TEX Hispanohablantes, Septiembre de 1999, ICE, Escuela de Caminos de la UPM, España A
Tesis Doctorales dirigidas
Título: High performance computing techniques applied to the design of complex railway infrastructures. Doctorando: Carlos Gómez Carrasco. Universidad: Carlos III de Madrid Departamento: Informática. Mención Internacional. Fecha: 2016 Título: A generic I/O architecture for data-intensive applications based on in-memory distributed cache. Doctorando: Francisco José Rodrigo Duro. Universidad: Carlos III de Madrid Departamento: Informática. Mención Internacional. Fecha: 2016 Título: A cloudification methodology for high performance simulations. Doctorando: Alberto García Fernández Universidad: Carlos III de Madrid Departamento: Informática. Mención Internacional. Fecha: 2016 Título: New Simulation Techniques for Energy Aware Cloud Computing Systems. Doctorando: Gabriel González Castañé. Universidad: Universidad Carlos III de Madrid. Departamento: Informática. Mención Internacional. Fecha: 2015 Título: High-Performance and fault-tolerant techniques for massive data distribution in online communities. Doctorando: Daniel Higuero Universidad: Carlos III de Madrid Departamento: Informática. Mención Europea. Fecha: 2013 Título: Methods to enhance content-distribution for very large scale online communities. Doctorando: Juan M. Tirado Universidad: Carlos III de Madrid Departamento: Informática. Mención Europea. Premio Extraordinario. Fecha: 2013 Título: .New techniques to model energy-aware I/O architectures based on SSD and hard disk drives. Doctorando: Laura Prada Universidad: Carlos III de Madrid Departamento: Informática. Mención Europea. Fecha: 2012
Título: A multi-tier cached I/O architecture for massively parallel supercomputers. Doctorando: Francisco Javier García Blas Universidad: Carlos III de Madrid Departamento: Informática. Mención Europea. Fecha: 2010 Título: A generic software architecture for portable applications in heterogeneous Wireless Sensor Networks. Doctorando: María Soledad Escolar Díaz. Universidad: Carlos III de Madrid Departamento: Informática. Mención Europea. Fecha: 2010 Título: New strategies for characterizing and improving high performance I/O architectures. Doctorando: Alberto Nuñez Covarrubias. Universidad: Carlos III de Madrid Departamento: Informática. Mención Europea. Fecha: 2010 Título: Collective I/O Techniques for Chip Multiprocessor Clusters. Doctorando: Rosa Filgueira Vicente. Universidad: Carlos III de Madrid Departamento: Informática. Mención Europea. Fecha: 2010. Título: “Técnicas de optimización de E/S en sistemas de computación masivamente paralelos” Doctorando: Luis Miguel Sánchez García Universidad: Carlos III de Madrid Departamento: Informática. Fecha: Noviembre de 2009 Título: “Mecanismos de Incremento de Prestaciones en el Acceso a Datos en GRIDs” Doctorando: José María Pérez Menor Universidad: Carlos III de Madrid Departamento: Informática. Fecha: Abril de 2006 Título: “Propuestas Arquitectónicas para Servidores Web Distribuidos con Réplicas parciales” Doctorando: José Daniel García Sánchez Universidad: Carlos III de Madrid Departamento: Informática Directores: Jesús Carretero Pérez y Félix García Carballeira Fecha: Octubre de 2005 Título: “Técnicas arquitectónicas de entrada/salida para sistemas operativos integrados” Doctorando: Javier Fernández Muñoz
Universidad: Carlos III de Madrid Departamento: Informática Directores: Jesús Carretero Pérez Fecha: Noviembre de 2004 Título: “Sistema de ficheros paralelo multiagente para clusters” Doctorando: María de los Santos Pérez Hernández Universidad: Politécnica de Madrid Departamento: Arquitectura y tecnología de Sistemas Informáticos Directores: Jesús Carretero Pérez y Félix García Carballeira Fecha: 2003 Título: “Coherencia de cache en Sistemas de Ficheros para Entornos Distribuidos y Paralelos” Doctorando: Félix García Carballeira Universidad: Politécnica de Madrid Departamento: Arquitectura y tecnología de Sistemas Informáticos Directores: Jesús Carretero Pérez y Pedro de Miguel Fecha: 1996 Título: “Aspectos de Tolerancia a Fallos en un Sistema de Ficheros Distribuido y Paralelo Mediante Esquemas de Redundancia” Doctorando: Raimundo Vega Vega Universidad: Politécnica de Madrid Departamento: Arquitectura y tecnología de Sistemas Informáticos Directores: Jesús Carretero Pérez Fecha: 1999 Título: “Un Sistema Genérico de Replicación de Datos para Computación Móvil” Doctorando: Luis Alonso Nogueiro Universidad: Politécnica de Madrid Departamento: Arquitectura y Tecnología de Sistemas Informáticos Directores: Jesús Carretero Pérez Fecha: 1999
Participación en comités y representaciones internacionales
Título del Comité: VII FP Projects Evaluation Committe: Computing Systems. Entidad de la que depende: Unión Europea Tema: Evaluación de proyectos europeos Fecha: febrero 2013 Título del Comité: VII FP Projects Evaluation Committe: Computing Systems. Entidad de la que depende: Unión Europea Tema: Evaluación de proyectos europeos Fecha: abril 2011 Título del Comité: VII FP Projects Evaluation Committe: Computing Systems. Entidad de la que depende: Unión Europea Tema: Evaluación de proyectos europeos Fecha: mayo 2009 Título del Comité: VII FP Projects Evaluation Committe: Computing Systems. Entidad de la que depende: Unión Europea Tema: Evaluación de proyectos europeos Fecha: noviembre 2007 Título del Comité: II Global Innovation Forum Entidad de la que depende: IBM Tema: Evaluación de propuestas estratégicas Fecha: octubre 2005 Título del Comité: VI FP FET Projects Evaluation Expert Committe: Global Computing Entidad de la que depende: Unión Europea Tema: Evaluación de proyectos europeos
Fecha: noviembre 2004
Experiencia en organización de actividades de I+D
Organización de congresos, seminarios, jornadas, etc., científicos-tecnológicos Título: I Jornada Técnica Ada-Spain Tipo de actividad: Congreso Ambito: Nacional Fecha: 1992 Título: II Jornada Técnica Ada-Spain Tipo de actividad: Congreso Ambito: Nacional Fecha: 1992 Título: International Symposium on Parallel and Distributed Systems Tipo de actividad: Conferencia Ambito: Internacional Fecha: 1998 Título: International Conference on Computer Communications, 2003 (IEEE) Tipo de actividad: Conferencia Ambito: Internacional Fecha: 2003 Título: XIV Jornadas de Paralelismo Tipo de actividad: Conferencia Ambito: Nacional. Chair. Fecha: 2003 Título: IEEE International Symposium on Computer Communications Tipo de actividad: Conferencia Ambito: Internacional. Tutorial chair. Fecha: 2005. Murcia. España.
Título: HiperIO: Workshop on high-performance I/O techniques and deployment of Very Large Scale I/O Systems Tipo de actividad: Workshop en The 2006 IEEE International Conference on Cluster Computing (CLUSTER 2006). Ambito: Internacional. Co-Chair Fecha: Septiembre 2006 Título: International Workshop on Scalable Data Management Applications and Systems 2007 (SDMAS'07) Tipo de actividad: Workshop PDPTA’07 Ambito: Intenracional. Co-chair Fecha: Junio 2007. Las Vegas. USA. Título: International Workshop on Scalable Data Management Applications and Systems 2008 (SDMAS'08)
Tipo de actividad: Workshop PDPTA’08 Ambito: Intenracional. Co-chair Fecha: Julio 2008. Las Vegas. USA. Título: HiperIO: Workshop on high-performance I/O techniques and deployment of Very Large Scale I/O Systems Tipo de actividad: Workshop en The 2008 IEEE International Conference on Cluster Computing (CLUSTER 2008). Ambito: Internacional. Co-Chair Fecha: Septiembre 2008. Tsukuba Japón.
Título: First International Workshop on Wireless Sensor Networks Architectures, Simulation and Programming. Tipo de actividad: Workshop en Mobilware 2009 Ambito: Internacional. Chair Fecha: Abril 2009. Berlín. Alemania.
Título: The 12-th ACM International Conference on Modeling, Analysis and Simulation of Wireless and Mobile Systems (MSWiM 2009) Tipo de actividad: Industrial Chair Ambito: Internacional. Fecha: Octubre 2009. Tenerife. Spain. Título: The 13-th ACM International Conference on Modeling, Analysis and Simulation of Wireless and Mobile Systems (MSWiM 2010) Tipo de actividad: Demo/Tools Chair Ambito: Internacional. Fecha: Octubre 2010. Bodrum. Turkey. Título: IEEE International Symposium on Computer Communications 2010. Tipo de actividad: Keynote Speaker chair Ambito: Internacional. Fecha: Julio 2010. Riccione. Italy. Título: 4th International Workshop on Scalable Data Management Applications and Systems 2010 (SDMAS'10) Tipo de actividad: Workshop en PDPTA’10. Co-chair. Ambito: Internacional. Fecha: Julio 2010. Las Vegas. USA. Título: HPCC 2011, 13th IEEE International Conference on High Performance and Communications, Tipo de actividad: Congreso. Ámbito: internacional. Cargo: General Chair. Fecha: 2-5 September 2011, Banff, Canada. Título: ISPA'2012, The 10th IEEE International Symposium on Parallel and Distributed Processing with Applications, Tipo de actividad: Congreso. Ámbito: internacional. Cargo: program Chair.
Fecha: 11-13 July 2012, Leganés, Madrid, Spain. Título: MUE'2012, The 6th IEEE International Conference on Multimedia and Ubiquitous Engineering, Tipo de actividad: Congreso. Ámbito: internacional. Cargo: General Chair. Fecha: 11-13 July 2012, Leganés, Madrid, Spain.
Cargo en Congreso
1. ISMM Conference Presidente de Mesa Trani (Italia) Septiembre de 1991 2. I Jornada Técnica Ada-Spain Cómite Organizador Madrid, (España) Febrero de 1992 3. II Jornada Técnica Ada-Spain Cómite Organizador Madrid, (España) Febrero de 1993 4. International Symposium on Parallel and Distributed Systems. ISCA. Chicago, Ill., USA. 1998.
Comité Organizador. 5. International Joint Conference on Information Systems Session Chairman Research Triangle,
Raleigh, North carolina, USA October 23-28, 1998 6. Session Organizer. “Multimedia on clusters on workstations. Mastering the future” To be held jointly
with the SCI-2000 Conference, Orlando, Florida, USA, june 2001. 7. Miembro del Comité Organizador de la “SCI-2002 Conference”, Orlando, Florida, USA, 2002. 8. Miembro del Comité Organizador de la “WMSCI-2005 Conference”, Orlando, Florida, USA, 2005. 9. Presidente de las XIV Jornadas de Paralelismo. 2003. 10. Miembro del comité organizador del GADA 2004. 11. Miembro del comité organizador del GADA 2005. 12. Miembro del comité organizador del HADIS 2005. 13. Miembro del comité organizador en el IEEE International Symposium on Computer
Communications 2005. 14. Miembro del comité organizador en el 2007 International Conference on Parallel and Distributed
Processing Techniques and Applications. 2007. 15. Miembro del comité organizador en el 2008 International Conference on Parallel and Distributed
Processing Techniques and Applications. 2008. 16. Miembro del comité organizador en el IEEE International Symposium on Computer
Communications 2009. 17. Miembro del comité organizador en el IEEE International Symposium on Computer
Communications 2010. 18. Miembro del comité en el IEEE International Symposium on Computer Communications 2012. 19. Miembro del comité en el IEEE International Symposium on Computer Communications 2013. 20. Miembro del comité en el SIMULTECH 2011 21. Miembro del comité en el SIMULTECH 2012 22. Miembro del comité en el SIMULTECH 2013
Experiencia de gestión de I+D
Gestión de programas, planes y acciones de I+D Título: High-Performance Parallel I/O for Massivelly Parallel Machines Tipo de actividad: Proyecto de investigación Fecha: 1997-1998 Título: RAIL: Preventive Maintenance for Railway Infrastructures Tipo de actividad: Proyecto de investigación Fecha: 2000-2002 Título: NESUS: Network for Sustainable Ultrascale Computing Systems Tipo de actividad: Proyecto de investigación Fecha: 2014-2018 Evaluación de solicitudes de proyectos de investigación
Título del Comité: Evaluación de proyectos de excelencia. ÁREA TEC. AGAE. 2011. Entidad de la que depende: Agencia Andaluza de Evaluación de la Calidad y Acreditación Universitaria. Tema: Evaluación de proyectos en Córdoba. Fecha: julio 2011 Título del Comité: Evaluación de proyectos del VII Programa marco. 2011. Entidad de la que depende: EU Tema: Evaluación de proyectos en Bruselas. Fecha: mayo 2011 Título del Comité: Evaluación de proyectos del plan TIN. 2010. Entidad de la que depende: ANEP- MCYT Tema: Evaluación de proyectos.
Fecha: junio 2010 Título del Comité: Evaluación de proyectos del VII Programa marco. 2009. Entidad de la que depende: EU Tema: Evaluación de proyectos en Bruselas. Fecha: mayo 2009 Título del Comité: Evaluación de proyectos del plan TIN. 2009. Entidad de la que depende: ANEP- MCYT Tema: Evaluación de proyectos. Fecha: junio 2009
Título del Comité: Evaluación de proyectos del plan TIN. 2008. Entidad de la que depende: ANEP- MCYT Tema: Evaluación de proyectos. Fecha: junio 2008
Título del Comité: Evaluación de proyectos del plan TIN. 2007. Entidad de la que depende: ANEP- MCYT Tema: Evaluación de proyectos. Fecha: junio 2007
Título del Comité: Evaluación de proyectos del plan TIN. 2006. Entidad de la que depende: ANEP- MCYT Tema: Evaluación de proyectos. Fecha: junio 2006
Título del Comité: Evaluación de proyectos del plan TIN. 2005. Entidad de la que depende: ANEP- MCYT Tema: Evaluación de proyectos. Fecha: junio 2005 Título del Comité: Evaluación de proyectos del plan TIN. 2004. Entidad de la que depende: ANEP- MCYT Tema: Evaluación de proyectos. Fecha: junio 2004 Título del Comité: Evaluación de proyectos del plan TIC. 2000-2003. Entidad de la que depende: CICYT-MCYT Tema: Evaluación de proyectos. Fecha: 2000-2003
Título del Comité: Evaluación de proyectos del plan PRICIT. 2000. Entidad de la que depende: Comunidad Autónoma de Madrid. Tema: Evaluación de proyectos. Fecha: 2000.
Otros méritos o aclaraciones que se desee hacer constar
REVISIÓN DE PUBLICACIONES TÉCNICAS
• European Journal of Operational Research. Elsevier. • IEEE Transactions on Parallel and Distributed Systems. • Journal of Systems Architecture. Elsevier. • Journal of Systems and Software. Elsevier. • Realiability & Systems Safety. Elsevier. • Journal of Computer and Electric Engineering. Springer.
BECAS, PREMIOS y AYUDAS RECIBIDAS
• HPCC 2011. Leadership Award for Conference Organization. Banff, Canadá. 2011. • The 2007 World Congress in Computer Science, Computer Engineering and Applied
Computing. WorldComp ‘ 07 Achievement Award for Organization Contributions. Les Vegas, USA. 2007.
• International Symposium on Computers and Communication 2005. Award in Recognition for the Tutotial Session Success. IEEE 2005.
• Beca de la OTAN para Investigación en el Extranjero. OTAN. Bélgica, Bruselas. Enero a Junio de 1998.
• Beca de la SGEUI para Investigación en el Extranjero. Secretría general de Estado para Universidades e Investigación. MEC. España.Mayo a Diciembre de 1997.
• Premio Extraordinario de Tesis. Facultad de Informática de Madrid. UPM.Año 1996 • Beca del Departamento de Arquitectura de Computadores Facultad de Informática de
Madrid. UPM. Duración: 3 años 1986 - 1989 • Colaboración con el Museo del Pueblo Español Diseo del Sistema Informático. Duración:
3 meses. Junio - Septiembre 1988. • Beca de la Fundación de los Ferrocarriles Españoles Duración: 3 meses Junio - Septiembre
1987 CURSOS Y SEMINARIOS IMPARTIDOS
1. Programación de aplicaciones paralelas usando MPI. CEDEX. Mayo 2008. 20 horas. 2. Clusters, Gris y Computación Global. Seminario de Doctorado. Universidad de Castilla-La Mancha.
Albacete. Mayo 2005. 3. Aplicaciones de la Informática en el Ámbito Aeroespacial. Escuela de Verano de la Universidad
Carlos III de Madrid. Julio 2004. 20 horas. 4. Administración De Sistemas Operativos En Red: Unix Y Windows. Código 5120. Fondo Social
Europeo- Comunidad Autónoma de Madrid. 142 Horas. 2004. 5. Formación en uso de nuevas tecnologías. Fondo Social Europeo- Sindicato ANPE. 40 horas. 2004. 6. Administración De Sistemas Operativos En Red: Unix Y Windows. Código 5035. Fondo Social
Europeo- Comunidad Autónoma de Madrid. 130 Horas. 2003. 7. Iniciación A La Red Internet. Universidad Carlos III de Madrid. 10 horas. 2003. 8. Administración de Sistemas Operativos. Universidad Carlos III de Madrid. 10 horas. 2003.
9. Administración De Sistemas Operativos En Red: Unix Y Windows. Código 5156. Fondo Social Europeo- Comunidad Autónoma de Madrid. 130 Horas. 2002.
10. Introducción a Internet y Programación de aplicaciones Web. Plan FSE. Octubre-Noviembre de 2002. 150 horas.
11. Introducción a Internet y Programación de aplicaciones Web. Plan FIP. Mayo de 2002. 180 horas. 12. Introducción a Internet y Programación de aplicaciones Web. Código 5409. Plan IMAF de la
Comunidad de Madrid. Noviembre-Diciembre de 2001. 110 horas. 13. Fundamentos de la Administración de Sistemas LINUX. Plan IMAF de la Comunidad Autónoma de
Madrid. Facultad de Informática, UPM. Octubre de 2001. 14. Introducción a Internet y Programación de aplicaciones Web. Código 5222. Escuela de Verano de
la Comunidad de Madrid. Julio-Agosto de 2001. 150 horas. 15. Diseño y Realización de Páginas Web. Curso 5216. Escuela de Verano de la Comunidad de
Madrid. IFEMA. Julio-Agosto 2001. 100 horas. 16. Introducción a Internet y Programación de aplicaciones Web. Código 1313. Plan FIP de la
Comunidad de Madrid. Mayo-Julio de 2001. 220 horas. 17. Diseño y Realización de Páginas Web. Código 5023. Plan IMAF de la Comunidad de Madrid. Junio-
Julio 2001. 150 horas. 18. Integración de sistemas heredados (legacy systems) usando marcos de componentes distribuidos.
Agencia Española de Administración de Tributos. Febrero de 2001. 19. Buses de Comunicación y Ordenadores de a Bordo".
INDRA SISTEMAS. Julio 2000. 40 horas. 20. Introducción a Internet y Programación de aplicaciones Web. Código 5257. Plan FIP de la
Comunidad de Madrid. Mayo-Julio de 2000. 220 horas. 21. UNIX System Administration. Athens Program. Consorcio de Universidades Europeas de la Red
Athens. Universidad Politécnica de Madrid. Facultad de Informática, UPM. Abril de 1999. UNIX System Administration. Athens Program. Consorcio de Universidades Europeas de la Red Athens. Universidad Politécnica de Madrid. Facultad de Informática, UPM. Abril de 1999
22. Administración de Sistemas UNIX en Red. Programa de Formación para Empleados de la Universidad Politécnica de Madrid y la Comunidad Autónoma de Madrid. Facultad de Informática, UPM. Octubre de 1998
23. 2. Análisis y Diseño Orientado a Objetos . Departamento de Informática. Michelín. Valladolid. Marzo de 1997
24. Desarrollo de Sistemas Cliente-Servidor Mediante Primitivas UNIX. Ministerio de Administraciones Públicas. Centro Regional para la Ense nanza de de la Informática. Madrid. Marzo de 1995
25. Programación de Sistemas Empotrados. Facultad de Informática, UPM. Madrid. Abril - Mayo de 1993
26. Introducción al Lenguaje Ada. Instituto Nacional de Técnica Aeroespacial (INTA). Madrid. Octubre - Noviembre de 1992
27. Introducción al lenguaje Ada. Ada-Spain. Facultad de Informática. UPM. Madrid. Noviembre - Diciembre de 1992
28. Lenguaje de programación Ada. Escuela de Informática de la Armada (EIA). Madrid. Abril - Mayo de 1992
29. Introducción al Lenguaje C. Facultad de Informática, UPM, Madrid. Octubre de 1992. 30. Introducción al Lenguaje Ada. Instituto Nacional de Técnica Aeroespacial (INTA). INTA. Madrid.
Octubre - Noviembre de 1992 31. Introducción al lenguaje Ada. Ada-Spain. Facultad de Informática. UPM. Madrid. Noviembre -
Diciembre de 1992 32. Lenguaje de programación Ada. UNED - INISEL. UNED. Madrid. Febrero - Mayo de 1991
33. Introducción al Lenguaje Ada. Instituto Nacional de Técnica Aeroespacial (INTA). INTA. Madrid. Noviembre de 1991.
34. Introducción al Estándar Gráfico G. K. S. Asociación Técnicos de Informática - Sun Microsystems. Sun Microsystems. Madrid.. Febrero - Marzo de 1990
35. Lenguaje de programación Ada. UNED - INISEL. UNED. Madrid. Febrero - Mayo de 1990 36. Introducción al Lenguaje Ada. Facultad de Informática, UPM. Madrid. Noviembre de 1990 37. Introducción al Lenguaje C. Facultad de Informática, UPM. Madrid. Octubre de 1990 38. Lenguaje de programación Ada. Alto Estado Mayor del Ejército (CECOE). Estado Mayor del
Ejército. Madrid. Octubre - Noviembre de 1989 TRADUCCIÓN y REVISIÓN de LIBROS TÉCNICOS Traducción
• Forouzan. “Transmisión de Datos y Redes”. Segunda Ed., McGraw-Hill, 2006. • Forouzan. “Transmisión de Datos y Redes”. Segunda Ed., McGraw-Hill, 2002. • Henning y Vinoski. “Programación Avanzada para CORBA usando C++”. Pearson Educación,
2002. • Karanjit y Sijan. “Windows 2000 TCP/IP”. Pearson Educación, 2001. • Wu. “Introducción a la Programamación orientada a Objetos con Java”. McGraw-Hill, 2001. • Antonakos, Programación Estructurada en C, Prentice Hall, 1997. • Cohoon y Davidson, Diseño de Programas con C++, Mcgraw-Hill, 1999. • V. Borg "Física" Revisor técnico. Círculo de Lectores (1987) R
Revisión • Shen, “Arquitectura de Computadores”, McGraw-Hill, 2005 • Lipschtuz, “2000 problemas resueltos de matemática discreta”, McGraw-Hill, 2002
PARTICIPACIÓN EN ÓRGANOS COLEGIADOS DE GOBIERNO O EN SUS COMISIONES
• Subdirector Primero de la Escuela Politécnica Superior de la Universidad Carlos III de Madrid desde abril de 2006 hasta Junio de 2012.
• Director de la Ingeniería Técnica en Informática de Gestión en la Universidad Carlos III de Madrid desde abril de 2006 hasta Junio de 2012.
• Representante de la Universidad Carlos III de Madrid en la CODDI (Conferencia de Decanos de Informática) desde abril de 2006 hasta Junio de 2012.
• Responsable del área de Arquitectura y Tecnología de Computadores en la Universidad Carlos III de Madrid desde febrero de 2000 hasta la fecha.
• Miembro de la Comisión de Informática de la Universidad Carlos III de Madrid desde febrero de 2000 hasta octubre de 2005.
• Miembro de la Comisión de Doctorado del Departamento de Informática de la Universidad Carlos III de Madrid desde febrero de 2000.
Curriculum Vitae
Jose Daniel Garcia Sanchez
November 21, 2018
1 Personal data
Name: Jose DanielFamily Name: Garcia SanchezPosition: Associate Professor
Affiliation: University Carlos III of MadridComputer Science and Engineering DepartmentARCOS Group(Computer Architecture, Communications and Systems)
Address: Avenida de la Universidad, 3028911, Leganes, Madrid, Spain
Web page: http://www.arcos.inf.uc3m.es/jdgarcia
2 Academic grades
• PhD in Computing Engineering from University Carlos III of Madrid, september, 22th, 2005. DoctoralThesis: “Architectural proposals for distributed Web servers with partial replication” Advisors: JesusCarretero and Felix Garcia.
• Advanced Studies Diploma in Computer Science from Universidad Carlos III de Madrid, september, 24th,2003.
• Computer Science Degree (6 year degree equivalent to BSc plus MSc) from Madrid Technical University(Universidad Politecnica de Madrid), february, 15th, 2001. Master Thesis: “Design patterns in a Model-View-Controller architecture on Microsoft Foundation Classes”
3 Academic positions
• 11/03/2008 – current date: Tenured Associate Professor, Universidad Carlos III de Madrid.
• 03/17/2006 – 11/02/2008: Associate Professor, Universidad Carlos III de Madrid.
• 10/25/2003 – 03/16/2006: Assistant Professor, Universidad Carlos III de Madrid.
• 10/02/2002 – 10/24/2003: Adjunct Professor, Universidad Carlos III de Madrid.
• 03/01/2001 – 09/30/2002: Lecturer, Universidad Pontificia de Salamanca.
3.1 PhD Thesis Advisor
1. Parallel source code transformation techniques using design patterns, David del Rıo Astorga. Advisor:Jose Daniel Garcıa. Higher Polytechnic School, University Carlos III of Madrid. October, 19th, 2018Outstanding Cum Laude. International PhD.
2. Application partitioning and mapping techniques for heterogeneous parallel platforms, Rafael SotomayorFernandez. Advisor: Jose Daniel Garcıa. Universidad Carlos III de Madrid. Higher PolytechnicSchool, University Carlos III of Madrid. July, 18th 2016. Outstanding Cum Laude. International PhD.Extraodinary PhD Award.
3. Proposal of adpative service for partially replicated web contents hosting, Enrique Torres Franco. Advisor:Jose Daniel Garcıa. Universidad Pontificia de Salamanca. July, 10th 2015. Cum Laude.
4. New Techniques to model Energy-Aware I/O Architectures based on SSD and Hard Disk Drives, LauraPrada Camacho. Co-advisors: Jose Daniel Garcıa y Jesus Carretero. Higher Polytechnic School,University Carlos III of Madrid. June, 22th 2012. Cum Laude. International PhD.
1
3.2 University Administration Positions
• 01/09/2016 – current: Vice-Head of Master in Computing Technologies applied to the finnantial sectorat University Carlos III of Madrid.
• 05/10/2010 – 06/15/2012: Director of Master in Informatics Engineering at University Carlos III ofMadrid.
• 10/31/2008 – 05/07/2010: Vice-Dean of Higher Technical School at University Carlos III of Madrid,Director for the undergraduate programs of Computer Science and Engineering.
• 06/01/2005 – 09/30/2012: Academic Secretariat of Master in Computer Systems Administration andManagement at University Carlos III of Madrid.
4 Publications
5 Metrics
• Number of articles in Google Scholar: 93.
• Total number of cites (Google Scholar): 579 (368 from 2013).
• h Index (Google Scholar): 12 (9 from 2013).
5.1 Journal papers
1. Towards Automatic Parallelization of Stream Processing Applications. Manuel F. Dolz, David del Rio,Javier Fernandez, Jose Daniel Garcıa, Jesus Carretero. IEEE Access. 6:39944–39961. July, 2018. ISSN:2169-3536.
2. Paving the way towards high-level parallel pattern interfaces for data stream processing. David del Rio,Manuel F. Dolz, Javier Fernandez, Jose Daniel Garcıa. Future Generation Computer Systems. 87:228–241.October, 2018. ISSN: 0167-739X.
3. A Generic Parallel Pattern Interface for Stream and Data Processing. David del Rio, Manuel F. Dolz,Javier Fernandez, Jose Daniel Garcıa. Concurrency and Computation: Practice and Experience. 29(24):12pages December, 2017. ISSN: 1532-0634.
4. Enabling semantics to improve detection of data races and misuses of lock-free data structures. ManuelF. Dolz, David del Rio, Javier Fernandez, Massimo Torquati, Jose Daniel Garcıa, Felix Garcıa, MarcoDanelutto. Concurrency and Computation: Practice and Experience. August, 2017. ISSN: 1532-0634.
5. An adaptive offline implementation selector for heterogeneous parallel platforms. David del Rio, ManuelF. Dolz, Luis Miguel Sanchez, Javier Fernandez, Jose Daniel Garcıa. The International Journal of HighPerformance Computing Applications. March, 2017. ISSN: 1741-2846.
6. Finding parallel patterns through static analysis in C++ applications. David del Rio, Manuel F. Dolz,Luis Miguel Sanchez, Jose Daniel Garcıa, Marco Danelutto, Massimo Torquati. The International Journalof High Performance Computing Applications. March, 2017. ISSN: 1741-2846
7. Automatic CPU/GPU Generation of Multi-versioned OpenCL Kernels for C++ Scientific Applications.Rafael Sotomayor, Luis Miguel Sanchez, Felix Garcıa, Javier Fernandez, Jose Daniel Garcıa. InternationalJorunal of Parallel Programming. 2016. ISSN: 1573-7640.
8. Static partitioning and mapping of kernel-based applications over modern heterogeneous architectures JoseDaniel Garcıa, Rafael Sotomayor, Javier Fernandez, Luis Miguel Sanchez. Simulation modelling practiceand theory. 58:79-94. June, 2015. ISSN: 1878-1462.
9. A Quantitative Justification to Dynamic Partial Replication of Web Contents through an Agent Architec-ture Enrique Torres, Jose Daniel Garcıa, Oscar Sanjuan, Luis Joyanes, Ruben Gonzalez. InternationalJournal of Artificial Intelligence and Interactive Multimedia. 3(3):82-88. June, 2015. ISSN: 1989-1660.
10. The Internet of Things: connecting the world Jesus Carretero, Jose Daniel Garcıa. Personal and Ubiqui-tous Computing. 18(2):445-447, February, 2014. ISSN: 1617-4909.
2
11. A Comparative Study and Evaluation of Parallel Programming Models for Shared-Memory Parallel Archi-tectures Luis Miguel Sanchez, Javier Fernandez, Rafael Sotomayor, Soledad Escolar, Jose Daniel Garcıa.New Generation Computing, 31(3):139–161, July, 2013. ISSN: 0288-3635.
12. A Novel Black-Box Simulation Model Methodology for Predicting Performance and Energy Consumption inCommodity Storage Devices Laura Prada, F. Javier Garcia-Blas, Alejandro Calderon, Jose Daniel Garcıa,Jesus Carretero. Simulation Modelling Practice and Theory. 34:48–63, May, 2013. ISSN: 1569-190X.
13. A Web Cluster Architectural Proposal for Balancing Storage Capacity and Reliability by using PartialReplication Jose Daniel Garcıa, Jesus Carretero, F. Javier Garcia-Blas, Luis Miguel Sanchez, Felix Garcıa.International Journal of Computer Systems Science and Engineering, 28(3): 191–202, May, 2013. ISSN:0267-6192.
14. Power saving-aware prefetching for SSD-based systems Laura Prada, F. Javier Garcia-Blas, Jose DanielGarcıa, Jesus Carretero. Journal of Supercomputing. 58(3):323–331, December, 2011. ISSN: 0920-8542.
15. New Techniques for Simulating High Performance MPI Applications on Large Storage Networks. AlbertoNunez, Javier Fernandez, Jose Daniel Garcıa, Felix Garcıa, Jesus Carretero. Journal of Supercomputing.51(1):40–57, January, 2010 ISSN: 0920-8542.
16. Scalable Storage Systems and High-Performance Applications Jesus Carretero, Jesus CarreteroJournal ofSupercomputing. 51(1):1–2, January, 2010. ISSN: 0920-8542.
17. Fault tolerant file models for parallel file systems: introducing distribution patterns for every file. AlejandroCalderon,Felix Garcıa,Luis Miguel Sanchez,Jose Daniel Garcıa,Javier Fernandez. Journal of Supercom-puting. 47(3):312–334, March, 2009. ISSN: 0920-8542.
18. Scalability in Data Management Jesus Carretero, Jose Daniel Garcıa. Journal of Supercomputing. 47(3):253–254, March, 2009. ISSN: 0920-8542
19. An Architecture For Management Of Distributed And Redundant Web Storage With Ingelligent AgentSystems And Emerging Techniques. Enrique Torres, Oscar Sanjuan, Luis Joyanes, Jose Daniel Garcıa,Ruben Gonzalez. IEEE Latin American Transactions. 6(6):524–528, October, 2008.
20. A Global and Parallel File Systems for Grids. Felix Garcıa, Jesus Carretero, Alejandro Calderon, JoseDaniel Garcıa, Luis Miguel Sanchez. Future Generation Computer Systems. Special Issue on DataAnalysis, Access and Management on Grids, 23(1):116–122, January, 2007. ISSN: 0167-739X.
21. Reliable Partial Replication of Contents in Web Clusters: Getting Storage without losing Reliability. JoseDaniel Garcıa, Jesus Carretero, Felix Garcıa, Javier Fernandez, David E. Singh, Alejandro Calderon.Journal of Computers, 1(7):81–88, October/November, 2006. Academy Publisher. ISSN: 1796-203X.
22. A Quantitative Justification to Partial Replication of Web Contents. Jose Daniel Garcıa, Jesus Carretero,Felix Garcıa, Javier Fernandez, Alejandro Calderon, David E. Singh. Lecture Notes in Computer Science,Vol. 3983, 1136-1145. May, 2006. ISSN: 0302-9743.
23. Specifying use case behaviour with interaction Models. Jose Daniel Garcıa, Jesus Carretero, Jose MarıaPerez, Felix Garcıa, Rosa Filgueira. Journal of Object Technology, Chair of Software Engineering at ETHZurich. 4(9):143–159, November, 2005. ISSN: 1660-1769.
24. Data Driven Infrastructure and Policy Selection to Enhance Scientific Applications in Grid. Jose MarıaPerez, Felix Garcıa, Jesus Carretero, Jose Daniel Garcıa, Soledad Escolar. Lecture Notes in ComputerScience, Vol. 3458, 59–74, Springer Verlag, 2005. ISSN: 0302-9743.
25. An adaptative cache coherence protocol specification for parallel input/output systems. Felix Garcıa, Ale-jandro Calderon, Jesus Carretero, Jose Marıa Perez, Jose Daniel Garcıa. IEEE Transactions on Paralleland Distributed Systems, 15(6):533–545, June, 2004. ISSN: 1045-9219.
26. A model for use case priorization using criticallity analysis. Jose Daniel Garcıa, Jesus Carretero, JoseMarıa Perez, Felix Garcıa. Lecture Notes in Computer Science, Vol. 3046, 496–505, Springer Verlag, May,2004. ISSN: 0302-9743.
27. A Parallel I/O Middleware to Integrate Heterogeneous Storage Resources on Grids. Jose Marıa Perez,Felix Garcıa, Jesus Carretero, Alejandro Calderon, Javier Fernandez, Jose Daniel Garcıa. Grid Computing.Lecture Notes in Computer Science, Vol. 2970, 124–131, Springer Verlag, January, 2004. ISSN: 0302-9743.
3
28. Applying RCM in large scale systems: a case study with railway networks. Jesus Carretero, Jose MarıaPerez, Felix Garcıa, Alejandro Calderon, Javier Fernandez, Jose Daniel Garcıa, Antonio Lozano, LuisCardona, Norberto Cotaina, Pierre Prete. Reliability Engineering and System Safety. 82(3):257–273,December, 2003. ISSN: 0951-8320.
5.2 Conference papers
1. Supporting MPI-distributed stream parallel patterns in GrPPI. Javier Fernandez, Manuel F. Dolz, Daviddel Rio, Javier Prieto, Jose Daniel Garcıa. Proceedings of the 25th European MPI Users’ Group Meeting(EuroMPI’18). Barcelona, Spain. 23-26/09/2018. 10 pages. ISBN: 978-1-4503-6492-8.
2. Parallelizing and optimizing LHCb-Kalman for Intel Xeon Phi KNL processors. Placido Fernandez, Daviddel Rio, Manuel F. Dolz, Javier Fernandez, Omar Awile, Jose Daniel Garcıa. Parallel and Distributedand Network-Based Processing (PDP 2018). Cambridge, United Kingdom. 21-23/03/2018. pp.741–750.ISBN: 978-1-5386-4975-6.
3. Supporting Advanced Patterns in GrPPI: a Generic Parallel Pattern Interface. David del Rio, Manuel F.Dolz, Javier Fernandez, Jose Daniel Garcıa. 23rd Intl. European Conference on Parallel and DistributedComputing (Euro-Par 2017), Intl. Workshop on Autonomic Solutions for Parallel and Distributed DataStream Processing (Auto-DaSP 2017), 2017. Santiago de Compostela, Spain. 28/08-01/09/2017. pp.55-67. ISBN: 978-3-319-75178-8.
4. Probabilistic-based selection of alternate implementations for heterogeneous platforms. Javier Fernandez,A. Sanchez-Cuadrado, David del Rio, Manuel F. Dolz, Jose Daniel Garcıa. Algorithms and architecturesfor parallel processing: 17th international conference, ICA3PP 2017. Helsinki, Finland, 21-23/08/2017.pp. 749-758 ISBN: 978-3-319-65482-9.
5. Porting Matlab applications to high-performance C++ codes: CPU/GPU-accelerated spherical deconvolu-tion of diffusion MRI data. F. Javier Garcia-Blas, Manuel F. Dolz, Jose Daniel Garcıa, Jesus Carretero,Alessandro Daducci, Yasser Aleman and Erick Jorge Canales-Rodrıguez. 16th International Conference onAlgorithms and Architectures for Parallel Processing (ICA3PP). Granada, Spain. 12/2016. pp. 630-643.ISBN: 978-3-319-49582-8.
6. A C++ Generic Parallel Pattern Interface for Stream Processing. David del Rio, Manuel F. Dolz, LuisMiguel Sanchez, F. Javier Garcia-Blas, Jose Daniel Garcıa16th International Conference on Algorithmsand Architectures for Parallel Processing (ICA3PP). Granada, Spain. 12/2016. pp. 74-84. ISBN: 978-3-319-49582-8.
7. Discovering pipeline parallel patterns in sequential legacy C++ codes. David del Rio, Manuel F. Dolz,Luis Miguel Sanchez, Jose Daniel Garcıa. 7th International Workshop on Programming Models andApplications for Multicores and Manycores (PMAM 2016) within Principles and Practice of ParallelProgramming (PPoPP’16). Barcelona, Spain, 03/2016, pp. 11-19. ISBN: 978-1-4503-4196-7.
8. Embedding Semantics of the Single-Producer/Single-Consumer Lock-Free Queue into a Race DetectionTool. Manuel F. Dolz, David del Rio, Javier Fernandez, Jose Daniel Garcıa, Felix Garcıa, Marco Dane-lutto, Massimo Torquati. 7th International Workshop on Programming Models and Applications for Mul-ticores and Manycores (PMAM 2016) within Principles and Practice of Parallel Programming (PPoPP’16).Barcelona, Spain, 03/2016, pp. 20-29. ISBN: 978-1-4503-4196-7.
9. Introducing Parallelism by using REPARA C++11 Attributes. Marco Danelutto, Jose Daniel Garcıa,Luis Miguel Sanchez, Rafael Sotomayor, Massimo Torquati. 24th Euromicro International Conferenceon Parallel, Distributed and Network Based Processing (PDP 20126). Heraklion, Crete, 02/2016, pp.354-358.
10. RPL: A Domain-Specific Language for Designing and Implementing Parallel C++ Application. VladimirJanjic, Christopher Brown, Kevin Hammond, Kenneth Mackenzie, Marco Aldinucci, Marco Daneluttoand Jose Daniel Garcıa. 24th Euromicro International Conference on Parallel, Distributed and NetworkBased Processing (PDP 20126). Heraklion, Crete, 02/2016. 8 pp.
11. ACTIS: Automatic Compile-Time Implementation Selector for heterogeneous platforms using generic pro-gramming. David del Rio, Manuel F. Dolz, Luis Miguel Sanchez, Jose Daniel Garcıa. High-Level Pro-gramming for Heterogeneous and Hierarchical Parallel Systems (HLPGPU 2016) co-located with HIPEAC2016 Prague, Czech Republic, January, 2016.
4
12. Automatic CPU/GPU Generation of Multi-versioned OpenCL Kernels for C++ Scientific Applications.Rafael Sotomayor, Luis Miguel Sanchez, F. Javier Garcia-Blas, Javier Fernandez, Jose Daniel Garcıa. 8thInternational Symposium on High-level Parallel Programming and Applications (HLPP) Pisa, Italy, May,2015.
13. A Comparative Evaluation of Parallel Programming Models for Shared-Memory Architectures. Luis MiguelSanchez, Jose Daniel Garcıa, Javier Fernandez, Rafael Sotomayor. 10th International Symposium onParallel and Distributed Processing with Applications (ISPA 2012). Leganes, Spain, July, 2012. ISBN:978-0-7695-4701-5.
14. A Black Box Model for Storage Devices based on Probability Distributions. Laura Prada, AlejandroCalderon, F. Javier Garcia-Blas, Jose Daniel Garcıa, Jesus Carretero. 10th International Symposiumon Parallel and Distributed Processing with Applications (ISPA 2012). Leganes, Spain, July, 2012. ISBN:978-0-7695-4701-5.
15. A Power-aware Based Storage Architecture for High Performance Computing Laura Prada, F. JavierGarcia-Blas, Jesus Carretero, Jose Daniel Garcıa, Alberto Nunez. 13th International Conference on HighPerformance and Communications (HPCC 2011) Banff, Canada, September, 2011. pp. 17–24. ISBN:978-0-7695-4538-7.
16. Power Saving-aware Solution for SSD-based Systems Laura Prada, Jose Daniel Garcıa, Jesus Carretero,F. Javier Garcia-Blas. International Conference on Mathematical Methods in Science and Engineering(CMMSE 2010). Almeria, Espana, June, 2010. ISBN: 978-84-614-6167-7.
17. Using write Buffering and Read Prefetching Between Flash and Disk Drives to Save Energy in a HybridSystem Laura Prada, Jose Daniel Garcıa, Jesus Carretero. International Conference on Parallel andDistributed Processing Techniques and Applications (PDPTA’09). Las Vegas, Nevada, USA, July, 2010.pp. 332–338. ISBN: 1-60132-158-9.
18. Saving power in Flash Disk Hybrid Storage System Laura Prada, Jose Daniel Garcıa, Jesus Carretero, FelixGarcıa. 17th Annual Meeting of the IEEE/ACM International Symposium on Modelling, Analysis andSimulation of Computer and Telecommunication Systems (MASCOTS 2009). London, United Kingdom,September, 2009. pp. 632–634. ISBN: 978-1-4244-4928-6.
19. An Efficient Deployment Strategy for Large Sets of Virtual Appliances Alejandra Rodriguez, Jesus Car-retero, Alberto Nunez, Borja Bergua, Felix Garcıa, Jose Daniel GarcıaInternational Conference on Paralleland Distributed Processing Techniques and Applications (PDPTA’09) Las Vegas, NV, USA, July, 2009.
20. New techniques for simulating high performance MPI applications on large storage networks, AlbertoNunez, Javier Fernandez, Jose Daniel Garcıa, Jesus Carretero. The 2008 IEEE International Conferenceon Cluster Computing (CLUSTER 2008). Tsukuba, Japan, October, 2008. pp. 445–452. ISBN: 978-1-4244-2639-3.
21. A Multi-Agent Proposal for the Management of Distributed and Redundant Storage in High Demand WebServers for Heterogeneous Networks Access, Enrique Torres, Oscar Sanjuan, Jose Daniel Garcıa, LuisJoyanes, Ruben Gonzalez, Sergio Rios. International Symposium on Distributed Computing and ArtificialIntelligence 2008. Salamanca, Spain, October, 2008. pp. 123–131. ISBN: 978-3-540-85862-1.
22. A Multi-Agent Proposal for the Management of Distributed and Redundant Storage, Enrique Torres, OscarSanjuan, Jose Daniel Garcıa, Luis Joyanes, Juan Manuel Cueva, Cristina Pelayo. 2008 InternationalConference on Artificial Intelligence. Las Vegas, Nevada, USA, July, 2008. 6 pp. ISBN: 1-60132-090-6
23. Impact of Social Networking Services on the Performance and Scalability of Web Server Infrastructures,Claudia Canali, Jose Daniel Garcıa, Riccardo Lancelloti. 7th International Symposium on Network Com-puting and Applications, Cambridge, MA, USA, July, 2008. pp. 160–167. ISBN: 978-0-7695-3192-2. DOI:10.1109/NCA.2008.34
24. Analyzing Scalable High-Performance I/O Architectures, Alberto Nunez, Javier Fernandez, Jesus Car-retero, Jose Daniel Garcıa. The 2008 International Conference on Parallel and Distributed ProcessingTechniques and Applications. Las Vegas, Nevada, USA, July, 2008. pp. 631–637. ISBN: 1-60132-083-3.
25. M-PLAT: Multi-Programming Language Adaptive Tutor, Alberto Nunez, Javier Fernandez, Jesus Car-retero, Jose Daniel Garcıa, Laura Prada. 8th IEEE International Conference on Advanced LearningTechnologies, Santander, Spain, July, 2008. pp. 649–651. ISBN: 978-0-7695-3167-0. DOI: 10.1109/ICALT.2008.153.
5
26. Using black-box modeling techniques for modern disk drives service time simulation, Jose Daniel Garcıa,Laura Prada, Jesus Carretero, Javier Fernandez y Alberto Nunez. 41st Annual Simulation Symposium,Ottawa, Canada, abril, 2008. pp. 139–145. ISBN: 0-7695-3143-1 DOI: 10.1109/ANSS-41.2008.12.
27. New Techniques for Modelling File Data Distribution on Storage Nodes, Alberto Nunez, Javier Fernandez,Jesus Carretero, Jose Daniel Garcıa y Laura Prada. 41st Annual Simulation Symposium, Ottawa,Canada, abril, 2008. pp. 175–182. ISBN: 0-7695-3143-1 DOI: 10.1109/ANSS-41.2008.11
28. A SIMulator Framework for Computer Architectures and Networks, Alberto Nunez, Javier Fernandez,Jesus Carretero, Jose Daniel Garcıa y Laura Prada. First International Workshop on OMNeT++,Marsella, Francia, marzo, 2008. ISBN: 978-963-9799-23-3
29. Fault Tolerant File Models for Parallel File Systems: Distribution Pattern Flexibility and its Reliability,Alejandro Calderon, Felix Garcıa, Luis Miguel Sanchez, Jose Daniel Garcıa, Javier Fernandez. The 2007International Conference on Parallel and Distributed Processing Techniques and Applications. Las Vegas,Nevada, USA, June, 2007. Vol II, pp. 676–682. Acceptance rate: 28%. ISBN: 1-60132-020-5
30. Dispatching Requests in Partially Replicated Web Clusters - An Adaptation of the LARD Algortihm. JoseDaniel Garcıa, Laura Prada, Jesus Carretero, Felix Garcıa, Javier Fernandez, Luis Miguel Sanchez. ThirdInternational Conference on Web Information Systems and Technologies (WEBIST 2007). Barcelona,Spain, March, 2007. Proceedings on Internet Technology, pp. 141–149. ISBN: 978-972-8865-77-1.
31. Improving the Performance of Cluster Applications through I/O Proxy Architecture. Luis Miguel Sanchez,Alejandro Calderon, Florin Isaila, David E. Singh, Jose Daniel Garcıa. HiperIO: Workshop on high-performance I/O techniques and deployment of Very Large Scale I/O Systems dentro de The 2006 IEEEInternational Conference on Cluster Computing (CLUSTER 2006). Barcelona, Spain, September, 2006.ISBN: 1-4244-0328-6.
32. Disk Scheduling Proposal for an In-Band Bandwidth Virtualization Schema. Javier Fernandez, JesusCarretero, Felix Garcıa, Alejandro Calderon, Jose Daniel Garcıa. The 2006 International Conference onParallel and Distributed Processing Techniques and Applications (PDPTA’06). Las Vegas, Nevada, USA,June, 2006. Vol II, pp. 669-675. Acceptance rate: 31%. ISBN: 1-932415-86-6.
33. A Quantitative Justification to Partial Replication of Web Contents. Jose Daniel Garcıa, Jesus Carretero,Felix Garcıa, Javier Fernandez, Alejandro Calderon, David E. Singh. Computational Science and its Ap-plications - ICCSA 2006. Acceptance rate: 27.11%. Lecture Notes in Computer Science, Vol. 3983,1136–1145, Springer Verlag, 2006. ISBN: 3-540-34077-7. ISSN: 0302-9743. The 2006 International Con-ference on Computational Sciencie and its Applications, ICCSA 2006. Glasgow, United Kingdom, May,2006.
34. On the Reliability of Web Clusters with Partial Replication of Contents. Jose Daniel Garcıa, Jesus Car-retero, Felix Garcıa, Alejandro Calderon, Javier Fernandez, David E. Singh. IEEE Conference on Avail-ability, Reliability and Security (ARES 2006). Viena, Austria, April, 2006. pp. 617–624. Acceptancerate: 34.47%. ISBN: 0-7695-2567-9.
35. Data Driven Infrastructure and Policy Selection to Enhance Scientific Applications in Grid. Jose MarıaPerez, Felix Garcıa, Jesus Carretero, Jose Daniel Garcıa, Soledad Escolar. Scientific Applications of GridComputing. Lecture Notes in Computer Science, Vol. 3458, 59–74, Springer Verlag, 2005. ISBN: 3-540-25810-8. ISSN: 0302-9743. First International Workshop on Scientific Applications of Grid Computing,SAG 2004. Beijing, China, September, 2004. Revised Selected and Invited Papers.
36. A content aware distributed Web Server. Soledad Escolar, Jose Daniel Garcıa, Jesus Carretero, Jose MarıaPerez, Felix Garcıa. 9th World Multi-Conference on Systemics, Cybernetics and Informatics (WMSCI2005). Orlando, Forida, USA, July, 2005. pp. 131–136. ISBN: 980-6560-59-0.
37. Grid Data Access Architecture Based on Application I/O Phases and I/O Communities. Jose MarıaPerez, Jesus Carretero, Jose Daniel Garcıa, Luis Miguel Sanchez. The 2004 International Conference onParallel and Distributed Processing Techniques and Applications (PDPTA’04). Las Vegas, USA, June,2004. Acceptance rate: 35%. pp. 568–574. ISBN: 1-932415-24-6.
38. A Parallel File System for Networks of Windows Workstations. Jose Marıa Perez, Jesus Carretero,Jose Daniel Garcıa, Felix Garcıa, Alejandro Calderon. First Internacional Workshop on Operating Sys-tems, Programming Envirnonments and Management Tools for High-Performance Computing on Clusters(COSET-1) withinInternational Conference on Supercomputing 2004 (ICS’04). Saint-Malo, France, June,2004.
6
39. A model for use case priorization using criticallity analysis. Jose Daniel Garcıa, Jesus Carretero, JoseMarıa Perez, Felix Garcıa. Computational Science and its Applications - ICCSA 2004. Lecture Notesin Computer Science, Vol. 3046, 496–505, Springer Verlag, Mayo, 2004. ISBN: 3-540-22060-7. ISSN:0302-9743. The 2004 International Conference on Computational Sciencie and its Applications, ICCSA2004. S. Maria degli Angeli, Assisi, Italy, May, 2004.
40. A Parallel I/O Middleware to Integrate Heterogeneous Storage Resources on Grids. Jose Marıa Perez, FelixGarcıa, Jesus Carretero, Alejandro Calderon, Javier Fernandez, Jose Daniel Garcıa. Grid Computing.Lecture Notes in Computer Science, Vol. 2970, 124–131, Springer Verlag, 2004. ISBN: 3-540-21048-2.ISSN: 0302-9743. First European Across Grids Conference. Santiago de Compostela, Spain, February,2003. Revised Papers.
41. Reducing software maintenance cost using Reliability Centered Maintenance (RCM) and expert knowledge.Jesus Carretero, Jose Daniel Garcıa, Jose Marıa Perez, Felix Garcıa. 10th ISPE International Conferenceon Concurrent Engineering: Research and Applications. Madeira, Portugal, July, 2003. Advanced design,management and production systems, pp. 257–273. Acceptance rate: 17.8%. ISBN: 90-5809-524-6.
42. Towards a Data Driven Storage Infrastructure for Grids. Jose Marıa Perez, Felix Garcıa, Jesus Carretero,Jose Daniel Garcıa, David Garcia, Luis Miguel Sanchez. The 2003 International Conference on Paralleland Distributed Processing Techniques and Applications (PDPTA’03). Las Vegas, Nevada, USA, July,2003. pp. 179–184. Acceptance rate: 38%. ISBN: 1-892512-41-6.
43. Identified Association: A pattern for efficient link traversal in real-time GUI’s. Jose Daniel Garcıa,Jesus Carretero, Jose Marıa Perez. International Conference on Computer, Communication and ControlTechnologies. CCCT’03. Orlando, Florida, USA, July, 2003. pp 316–320. ISBN: 980-6560-05-1.
44. A Distributed Web Switch for Partially Replicated Contents. Jose Daniel Garcıa, Jesus Carretero, JoseMarıa Perez, Felix Garcıa, Javier Fernandez. 7th World Multiconference on Systemics, Cybernetics andInformatics. SCI’03. Orlando, Florida, USA, July, 2003. pp. 1–6. ISBN: 980-6560-01-9.
45. Expanding Windows Kernel to Integrate heterogeneous Storage Resources on Data Grids. Jose MarıaPerez, Jesus Carretero, Felix Garcıa, Jose Daniel Garcıa, Alejandro Calderon, Luis Miguel Sanchez. 7thWorld Multiconference on Systemics, Cybernetics and Informatics. SCI’03. Orlando, Florida, USA, July,2003. pp. 373–377. ISBN: 980-6560-01-9.
5.3 Contributions to Standards
1. P0542R5: Support for contract based programming in C++. G. Dos Reis, J. D. Garcia, J. Lakos, A.Meredith, N. Myers, B. Stroustrup. 71 meeting of the ISO/IEC JTC1/SC22/WG1 (C++ StandardsCommittee). Rapperswil, Switzerland. June, 2018.
2. P0542R4: Support for contract based programming in C++. G. Dos Reis, J. D. Garcia, J. Lakos, A.Meredith, N. Myers, B. Stroustrup. 70 meeting of the ISO/IEC JTC1/SC22/WG1 (C++ StandardsCommittee). Jacksonville, Florida, USA. April, 2018.
3. P0542R3: Support for contract based programming in C++. G. Dos Reis, J. D. Garcia, J. Lakos, A.Meredith, N. Myers, B. Stroustrup. 69 meeting of the ISO/IEC JTC1/SC22/WG1 (C++ StandardsCommittee). Jacksonville, Florida, USA. February, 2018.
4. P0542R2: Support for contract based programming in C++. G. Dos Reis, J. D. Garcia, J. Lakos, A.Meredith, N. Myers, B. Stroustrup. 68 meeting of the ISO/IEC JTC1/SC22/WG1 (C++ StandardsCommittee). Albuquerque, New Mexico, USA. November, 2017.
5. P0542R1: Support for contract based programming in C++. G. Dos Reis, J. D. Garcia, J. Lakos, A.Meredith, N. Myers, B. Stroustrup. 67 meeting of the ISO/IEC JTC1/SC22/WG1 (C++ StandardsCommittee). Toronto, Canada, Canada. June, 2017.
6. P0542R0: Support for contract based programming in C++. G. Dos Reis, J. D. Garcia, J. Lakos, A.Meredith, N. Myers, B. Stroustrup. 66 meeting of the ISO/IEC JTC1/SC22/WG1 (C++ StandardsCommittee). Kona, Hawaii, USA. February, 2017.
7. P0559R0: Operating principles for evolving C++ J.C van Winkel, J. D. Garcia, V. Voutilainen, RogerOrr, Michael Wong, Sylvain Bannal. 66 meeting of the ISO/IEC JTC1/SC22/WG1 (C++ StandardsCommittee). Kona, Hawaii, USA. February, 2017.
7
8. P0380R0, P0380R1: A contracts design G. Dos Reis, J. D. Garcia, J. Lakos, A. Meredith, N. Myers, B.Stroustrup. 64 meeting of the ISO/IEC JTC1/SC22/WG1 (C++ Standards Committee). Oulu, Finland.June, 2016.
9. P0374R0: Stream parallelism patterns. Jose Daniel Garcıa, David del Rio, Manuel F. Dolz, F. JavierGarcia-Blas, Luis Miguel SanchezMarco Danelutto, Massimo Torquati. 64 meeting of the ISO/IECJTC1/SC22/WG1 (C++ Standards Committee). Oulu, Finland. June, 2016.
10. P0028R4: Using non-standard attributes without repetition. Jose Daniel Garcıa, Daveed Vandevoorde. 64meeting of the ISO/IEC JTC1/SC22/WG1 (C++ Standards Committee). Oulu, Finland. July, 2016.
11. P0283R2: Standard and non-standard attributes. Jose Daniel Garcıa. 64 meeting of the ISO/IECJTC1/SC22/WG1 (C++ Standards Committee). Oulu, Finland. July, 2016.
12. P0028R1, P0028R2, P0028R3: Using non-standard attributes. Jose Daniel Garcıa, Luis M. Sanchez,Massimo Torquati, Marco Danelutto, Peter Sommerlad. 63 meeting of the ISO/IEC JTC1/SC22/WG1(C++ Standards Committee). Jacksonville, Florida, USA. February, 2016.
13. P0283R0, P0283R1: Standard and non-standard attributes. Jose Daniel Garcıa. 63 meeting of theISO/IEC JTC1/SC22/WG1 (C++ Standards Committee). Jacksonville, Florida, USA. February, 2016.
14. P0166R0: Three interesting questions about contracts. Jose Daniel Garcıa62 meeting of the ISO/IECJTC1/SC22/WG1 (C++ Standards Committee). Kona, Hawaii, USA, October, 2015.
15. P0028R0: Using non-standard attributes. Jose Daniel Garcıa, Luis M. Sanchez, Massimo Torquati, MarcoDanelutto, Peter Sommerlad. 62 meeting of the ISO/IEC JTC1/SC22/WG1 (C++ Standards Commit-tee). Kona, Hawaii, USA, October, 2015.
16. N4415: Simple Contracts for C++. Gabriel Dos Reis, Jose Daniel Garcıa, Francesco Logozzo, ManuelFahndrich, Shuvendu Lahri. 61 meeting of the ISO/IEC JTC1/SC22/WG1 (C++ Standards Committee).Lenexa, Kansas, USA, May, 2015.
17. N4293: C++ language support for contract programming. Jose Daniel Garcıa59 meeting of the ISO/IECJTC1/SC22/WG1 (C++ Standards Committee). Urbana-Champaign, Illinois, USA, November, 2014.
18. N4294: Arrays of run-time bounds as data members. Jose Daniel Garcıa59 meeting of the ISO/IECJTC1/SC22/WG1 (C++ Standards Committee). Urbana-Champaign, Illinois, USA, November, 2014.
19. N4110: Exploring the design space of contract specifications for C++. Jose Daniel Garcıa. 58 meeting ofthe ISO/IEC JTC1/SC22/WG1 (C++ Standards Committee). Rapperswil, Switzerland, June, 2014.
20. N3875: Run-time bound array data members. Jose Daniel Garcıa, Xin Li. 57 meeting of the ISO/IECJTC1/SC22/WG1 (C++ Standards Committee). Issaquah, WA, USA, February, 2014.
21. N3263: More on noexcept for the Containers Library. Jose Daniel Garcıa. 51 meeting of the ISO/IECJTC1/SC22/WG1 (C++ Standards Committee). Madrid, Spain, March, 2011. Approved and includedin ISO/IEC 14882:2011.
22. N3251: noexcept for the atomics library. Jose Daniel Garcıa, Michael Wong. 51 meeting of the ISO/IECJTC1/SC22/WG1 (C++ Standards Committee). Madrid, Spain, March, 2011. Approved and includedin ISO/IEC 14882:2011.
23. N3267: A review of noexcept in thre threads library. Jose Daniel Garcıa, Michael Wong. 51 meeting ofthe ISO/IEC JTC1/SC22/WG1 (C++ Standards Committee). Madrid, Spain, March, 2011. Approvedand included in ISO/IEC 14882:2011.
24. N3148: throw() becomes noexcept. Jose Daniel Garcıa. 50 meeting of the ISO/IEC JTC1/SC22/WG1(C++ Standards Committee). Batavia, IL, USA, November, 2010. Approved and included in ISO/IEC14882:2011.
25. N3195: From Throws: Nothing to noexcept. Jose Daniel Garcıa. 50 meeting of the ISO/IEC JTC1/SC22/WG1(C++ Standards Committee). Batavia, IL, USA, November, 2010. Approved and included in ISO/IEC14882:2011.
26. N3150: Removing non-empty dynamic exceptio specifications from the library. Jose Daniel Garcıa. 50meeting of the ISO/IEC JTC1/SC22/WG1 (C++ Standards Committee). Batavia, IL, USA, November,2010. Approved and included in ISO/IEC 14882:2011.
8
27. N3155: More on noexcept for the language support library. Jose Daniel Garcıa. 50 meeting of the ISO/IECJTC1/SC22/WG1 (C++ Standards Committee). Batavia, IL, USA, November, 2010. Approved andincluded in ISO/IEC 14882:2011.
28. N3156: More on noexcept for the diagnostics library. Jose Daniel Garcıa. 50 meeting of the ISO/IECJTC1/SC22/WG1 (C++ Standards Committee). Batavia, IL, USA, November, 2010. Approved andincluded in ISO/IEC 14882:2011.
29. N3199: More on noexcept for the General Untilities Library. Jose Daniel Garcıa. 50 meeting of theISO/IEC JTC1/SC22/WG1 (C++ Standards Committee). Batavia, IL, USA, November, 2010. Approvedand included in ISO/IEC 14882:2011.
30. N3180: More on noexcept for the Strings Library. Jose Daniel Garcıa. 50 meeting of the ISO/IECJTC1/SC22/WG1 (C++ Standards Committee). Batavia, IL, USA, November, 2010. Approved andincluded in ISO/IEC 14882:2011.
5.4 Books
• Problemas resueltos de estructura de computadores. (Problems in Computer Architecture with Solutions).Felix Garcıa, Jesus Carretero, Jose Daniel Garcıa, David E. Singh. Thomson, 2009 (1 ed), ISBN: 978-84-9732-536-3. 2015 (2 ed), ISBN: 978-84-2833-701-4.
• Programacion en lenguajes estructurados. (Programming with Structured Languages). Felix Garcıa,Jesus Carretero, Jose Marıa Perez, Alejandro Calderon, Javier Fernandez, Jose Daniel Garcıa, Luis MiguelSanchez. Paraninfo Cengage Learning, 2008. ISBN: 978-84-9732-549-3.
• Problemas resueltos de programacion en lenguaje C++. (Programming Problems in C++ Language withSolutions). Jose Daniel Garcıa, Jose Marıa Perez, Luis Miguel Sanchez, Jesus Carretero, Felix Garcıa.Thomson, 2004. ISBN: 84-9732-291-6.
5.5 Book Chapters
• Management of Distributed and Redundant Storage in High Demand Web Servers for Heterogeneous Net-works Access by Agents withinAdvances in Soft Computing. Enrique Torres, Oscar Sanjuan, Jose DanielGarcıa, Luis Joyanes, Ruben Gonzalez, Sergio Rios. Springer, 2009. Vol. 50, pp. 123–131. ISBN:978-3-540-85862-1.
5.6 Technical Reports
• Dynamic partitioning techniques. Jose Daniel Garcıa, Jesus Carretero, Javier Fernandez, Felix Garcıa,Luis Miguel Sanchez, Rafael Sotomayor. REPARA Project (http://www.repara-project.eu), April,2016.
• Semantic specification for libraries. Jose Daniel Garcıa, Javier Fernandez, Felix Garcıa, F. Javier Garcia-Blas, Luis Miguel Sanchez, David del Rio, Rafael Sotomayor. REPARA Project (http://www.repara-project.eu), February, 2016.
• Automatic kernel identification and assesment. Jose Daniel Garcıa, Alejandro Calderon, Javier Fernandez,F. Javier Garcia-Blas, Andreas Koch, Julian Oppermann, David del Rio, Luis Miguel Sanchez, RafaelSotomayor. REPARA Project (http://www.repara-project.eu), February, 2016.
• Algorithm constraining and selection techniques. Jose Daniel Garcıa, Javier Fernandez, Felix Garcıa, F.Javier Garcia-Blas, Xin Li, Luis Miguel Sanchez, Rafael Sotomayor. REPARA Project (http://www.repara-project.eu), February, 2015.
• Static partitioning tool. Jose Daniel GarcıaMarco Aldinucci, Silvano Brugnoni, Marco Danelutto, JavierFernandez, Felix Garcıa, Andreas Koch, Jens Korinth, Xin Li, Luis Miguel Sanchez, Peter Sommerlad,Massimo Torquati. REPARA Project (http://www.repara-project.eu), December, 2014.
• Target Platform Descriptiojn Specification. Jose Daniel Garcıa, Andreas Koch, Jens Korinth, Luis MiguelSanchez, Rafael Sotomayor. REPARA Project (http://www.repara-project.eu), April, 2014.
• REPARA C++ Open Specification. Jose Daniel Garcıa, Rudolf Ferenc, Javier Fernandez, Xin Li, IstvanSiket. REPARA Project (http://www.repara-project.eu), February, 2014.
9
5.7 Translations
• The C++ Programming Language. Special Edition (El lenguaje de programaci’on C++. Edicion Espe-cial). Bjarne Stroustroup. Translated into spanish by Jose Daniel Garcia, Maria Luisa Diez, Maria PilarDorrego, Monica Vazquez, Oscar Sanjuan and Yago Saez. Addison-Wesley, 2002. ISBN: 84-7829-046-X.
6 Participation in research projects
6.1 International cooperation projects
1. ASPIDE: exAScale ProgramIng models for extreme Data procEssing. University Carlos III of Madrid,Principal Investigator: F. Javier Garcia-Blas. 15/6/2018 – 14/12/2020.
2. RePhrase – Refactoring Parallel Hetergeneous Resource-Aware Applications – a Software EngineeringApproach. University Carlos III of Madrid, Principal Investigator: Kevin Hammond, Group Leader atUC3M: J. Daniel Garcia. 04/2015 – 03/2018.
3. eID@Cloud: Integrating the eIdentification in European cloud platforms according to the eIDAS Regu-lation. CEF-2016: 2016-EU-IA-0064. University Carlos III of Madrid, Principal Investigator: JesusCarretero(UC3M). 01/5/2017 – 31/5/2018.
4. MADE: Multiple Access to eDelivery. CEF-2016: 2016-EU-IA-0063. University Carlos III of Madrid,Principal Investigator: Jesus Carretero(UC3M). 01/5/2017 – 31/5/2018.
5. GOVeIN: European e Invoicing Project: Implementation of the European electronic invoice within thePublic health. CEF-2014: 2015-EU-IA-0058 (26599258). University Carlos III of Madrid, PrincipalInvestigator: Jose Macario Siqueira (EDC). Group Leader at UC3M: Jesus Carretero. 01/10/2016 –01/11/2017.
6. REPARA – Reengineering and Enabling Performance And poweR of Applications. FP7-ICT-609666 Uni-versity Carlos III of Madrid, Principal Investigator: J. Daniel Garcıa. 09/2013 – 08/2016.
7. Scalable and robust architectures for supporting advanced network services. Ref. HI2008-070, UniversityCarlos III of Madrid (9 researchers), Principal Investigator: J. Daniel Garcıa. Integrated actionwith the WebLab research team from University of Modena, led by Prof. Michele Colajanni. 01/2009 –12/2010.
8. Advanced Environment Control System. AITEMIN, funded by European Community - ECSC. PrincipalInvestigator: Jose Luis Garcia-Sineriz Martinez 07/1989 – 07/1992.
6.2 Projects funded by Spanish R&D National Plan
1. BigHPC: Towards unification of HPC and Big Data paradigms TIN2016-79637-P University Carlos IIIof Madrid(8 researchers), Principal Investigator: Jesus Carretero y Felix Garcıa. 01/2017 – 12/2019.
2. Scalable data management techniques for high-end computing systems. TIN2013-41350-P UniversityCarlos III of Madrid(10 researchers), Principal Investigator: Jesus Carretero y Felix Garcıa. 01/2014 –12/2016.
3. Input/Output Scalable techniques for distributed and high performance environments. TIN2010-16497.University Carlos III of Madrid(20 researchers), Principal Investigator: Jesus Carretero 01/2011 –12/2013.
4. Scalable storage new techniques in hihgh performance computing. TIN2007-63092. University CarlosIII of Madrid(23 researchers), Principal Investigator: Jesus Carretero. 10/2007 – 08/2010.
5. Spanish Network of e-Science. University Carlos III of Madrid, Principal Investigator: VicenteHernandez (Universidad Politecnica de Valencia). 01/2007 – 12/2009.
6. High Performance Storage in Grid environments. TIN2004-02156. University Carlos III of Madrid(15researchers), Principal Investigator: Felix Garcıa. 12/2004 – 12/2007.
7. High Performance, Reliable and Secure High Performance Storage Techniques for GRID environments.TIC2003-01730. University Carlos III of Madrid(10 researchers), Principal Investigator: Felix Garcıa.12/2003 – 11/2004.
8. GRID Projects Preparation within the Frame of European c-Science initiatives. Special action of 23spanish research groups, 10/2002 – 10/2003.
10
6.3 Projects funded by Madrid Regional Government
1. Input/Output Management Scalable System University Carlos III of Madrid(22 researchers), PrincipalInvestigator: Jesus Carretero. 01/2008 – 12/2008.
2. CP06: Input/Output Optimization Techniques in Applications for High Performance Computing Environ-ments University Carlos III of Madrid(20 researchers), Principal Investigator: Jesus Carretero. 01/2007– 12/2007.
3. Optimization and Reliability Techniques for Scalable and High Performance Input/Output Systems. UC3M-INF-05-003. University Carlos III of Madrid(15 researchers), Principal Investigator: Jesus Carretero.01/2006 – 12/2006.
4. Techniques for increasing Performance in distributed and cooperative Web Server Clusters. 07T/0010/20031. University Carlos III of Madrid(6 researchers), Principal Investigator: Jesus Carretero. 06/2003 –07/2004.
6.4 Industry Research Contracts
1. Performance improvement in solar concentration systems simulation Contract signed by CENER withthe Computer Science Department of University Carlos III of Madrid (5 researchers) 05/2018 – 03/2019
2. Performance evaluation for optical computing in thermosolar facilities Contract signed by CENER withthe Computer Science Department of University Carlos III of Madrid (3 researchers) 11/2017 – 12/2017
3. Research for improving performance of the valuation engine from Quantitative Development Contractsigned by BBVA with the Computer Science Department of University Carlos III of Madrid (3 researchers)Principal Investigator: Jose Daniel Garcia 04/2016 – 12/2016.
4. Research project for extraction and exploitation of data recorded by control and management systems inmobility equipment used for railway facilities maintenance. Contract signed by Spanish Railway FacilitiesAdministration (ADIF)with the Computer Science Department of University Carlos III of Madrid (2researchers) Principal Investigator: Jesus Carretero. 04/2010 – 07/2011.
5. HIDDRA: Research on Advanced Methods and Techniques for “Hot” Data Distribution in Earth Observa-tion Missions. Contract signed by Ingenierıa y Servicios Aeroespaciales, S.A. with the Computer ScienceDepartment of University Carlos III of Madrid (6 researchers) Principal Investigator: Jesus Carretero.07/2009 – 07/2010.
6. Research on Advanced Methods for Distribution of “Hot” Data Sets in Earth Observation Missions. Con-tract signed by Ingenierıa y Servicios Aeroespaciales, S.A. with the Computer Science Department of Uni-versity Carlos III of Madrid (6 researchers) Principal Investigator: Jesus Carretero. 11/2007 – 07/2008.
7. Research Program in Avionics Test and Prototiping Systems. Contract signed by EADS with the ComputerScience Department of University Carlos III of Madrid (5 researchers) Principal Investigator: JesusCarretero. 12/2002 – 06/2004.
6.5 Industry Technology Transfer
1. Initial performance study in generation module for measuring credit risk in CVA computation BBVA,Principal Investigator: J. Daniel Garcia. 03/2016 – 05/2016.
2. Aerospace Platforms and Orbital Tests (PERIGEO) Deimos Space, University Carlos III of Madrid, andothers. Principal Investigator: Jesus Carretero. 10/2011 – 12/2014.
3. NIMBO: Distributed Games IPT-430000-2010-0014. Pyxel Arts Digital Entertainment, University CarlosIII of Madrid, by the Spanish Ministry of Science and InnovationPrincipal Investigator: Jesus Carretero.06/2010 – 06/2013.
4. General Systems Modular Control System for Aircrafts. FIT-370200-2004-9. EADS-CASA, Indra,University Carlos III of Madrid, by the Spanish Ministry of Industry, Tourism and Commerce. PrincipalInvestigator: Jesus Carretero. 2004 – 2007.
5. PS Simulation for Modular UCS. Contract signed by EADS with the Computer Science Department ofUniversity Carlos III of Madrid Principal Investigator: Jesus Carretero. 06/2005 – 06/2006.
11
6. Adapting RCM tools to GIS interface in GEOMIF environment. Contract signed by RENFE (SpanishRailway Operator) Principal Investigator: Jesus Carretero. 11/2005 – 01/2006.
7. Applying Windows CE. NET to avionic industry Contract signed by Microsoft Research Ltd. with theComputer Science Department of University Carlos III of Madrid Principal Investigator: Jesus Carretero.06/2003 – 06/2004.
8. Adaptation and Data Loading of SITIO to GEOMIF Environment. Contract signed by RENFE (SpanishRailway Operator) Principal Investigator: Jesus Carretero. 03/2003 – 10/2003.
6.6 Research Networks Membership
1. HIPEAC: European network of Excellence on High Performance and Embedded Architecture Compilation).
2. NESUS: Network for Sustainable Ultrascale Computing COST Action IC1305 (03/2014 – 03/2018).
3. CAPAP-H3: Red de computacion de Altas Prestaciones sobre Arquitecturas Paralelas Heterogeneas. AccionComplementaria TIN2010-12011-E. 06/2011 – 06/2012.
4. CAPAP-H2: Red de computacion de Altas Prestaciones sobre Arquitecturas Paralelas Heterogeneas. AccionComplementaria. 06/2010 – 06/2011.
5. Red Espanola de e-Ciencia. CAC-2007-52. 01/2007 – 12/2009.
7 Grants
• Mobility Grant for Madrid Public Universities Professors, june 2012. Grant funded with 18,000 euros byCajamadrid Foundation for a 6 months stay at Texas A&M University.
• Grant for recent PhD mobility, june 2007. Grant funded with 2700 euros by University Carlos III for a 60days internship at Univeristy of Modena.
• Gelato Federation Grant, january 2006. Through this grant, the Gelato Federation supplied the ARCOSgroup with 3 HP Itanium-2 based servers.
• Award to research activities in Colmenarejo Campus at University Carlos III of Madrid for year 2005.Funded with 3000 euros.
• Student Grant from the Association for Industrial Research and Development of Natural Resources, fromdecember 1989 to july 1992.
12
Ministerio de Economía y Competitividad Secretaría de Estado de Investigación, Desarrollo e Innovación
Currículum vitae
Número de hojas que contiene: 55 Nombre: Félix García Carballeira Fecha: septiembre de 2018
2
APELLIDOS: GARCÍA CARBALLEIRA NOMBRE: FÉLIX SEXO: H DNI: 52088850 FECHA DE NACIMIENTO: 18-02-1969 Nº FUNCIONARIO: 5208885024 A0500 ESPECIALIZACION (Código UNESCO): 330406
FORMACIÓN ACADEMICA LICENCIATURA/INGENIERIA CENTRO FECHA LICENCIADO EN INFORMÁTICA FACULTAD DE INFORMÁTICA 5/10/1993 UNIVERSIDAD POLITÉCNICA DE MADRID DOCTORADO DOCTOR EN INFORMÁTICA UNIVERSIDAD POLITÉCNICA DE MADRID 3/10/1996 DIRECTOR(ES) DE TESIS: DR. PEDRO DE MIGUEL ANASAGASTI DR. JESÚS CARRETERO PÉREZ
SITUACIÓN PROFESIONAL ACTUAL ORGANISMO: UNIVERSIDAD CARLOS III DE MADRID FACULTAD, ESCUELA o INSTITUTO: ESCUELA POLITÉCNICA SUPERIOR DEPT. /SECC./ UNIDAD ESTR.: DEPARTAMENTO DE INFORMÁTICA CATEGORIA PROFESIONAL Y FECHA DE INICIO: CATEDRÁTICO DE UNIVERSIDAD. 11/01/2008 DIRECCION POSTAL: AVDA DE LA UNIVERSIDAD 30, 28911, LEGANES, MADRID TELEFONO (indicar prefijo, número y extensión): 916249060 NÚMERO DE SEXENIOS: 3 (último reconocido 2008-2013)
3
ACTIVIDADES ANTERIORES DE CARACTER CIENTIFICO O PROFESIONAL
FECHAS PUESTO INSTITUCION 01/01/1994 hasta 31/10/1996
Becario del plan nacional de Formación de Profesorado Universitario
Facultad de Informática Universidad Politécnica de Madrid
01/11/1996 Profesor Titular de Escuela Universitaria Interino
Facultad de Informática Universidad Politécnica de Madrid
01/04/1998 Profesor Titular de Universidad Interino Facultad de Informática Universidad Politécnica de Madrid
01/12/1998 Profesor Titular de Universidad Facultad de Informática Universidad Politécnica de Madrid
01/02/2000 Profesor Titular de Universidad En comisión de servicios
Universidad Carlos III de Madrid
01/01/2002 hasta 10/01/2008
Profesor Titular de Universidad Universidad Carlos III de Madrid
11/01/2008 hasta Actualidad
Catedrático de Universidad Universidad Carlos III de Madrid
IDIOMAS DE INTERES CIENTIFICO (R=regular, B=bien, C=correctamente)
IDIOMA HABLA LEE ESCRIBE INGLES R C B
4
PARTICIPACION EN PROYECTOS DE INVESTIGACION FINANCIADOS DIRECCIÓN DE PROYECTOS DE INVESTIGACIÓN
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Hacia la unificación de paradigmas HPC y Big Data. TIN2016-79637-P Ministerio de Economía y Competitividad – Universidad Carlos III de Madrid Universidad Carlos III de Madrid Desde diciembre de 2016 hasta diciembre de 2019 Jesús Carretero Pérez y Félix García Carballeira 14 203.280 euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Técnicas de gestión escalable de datos para High-End computing systems. TIN2013-41350-P Ministerio de Economía y Competitividad – Universidad Carlos III de Madrid Universidad Carlos III de Madrid Desde enero de 2014 hasta diciembre de 2016 Jesús Carretero Pérez y Félix García Carballeira 15 151.492 euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Computación de altas prestaciones en entornos de computación voluntaria Comunidad de Madrid Universidad Carlos III de Madrid Desde enero de 2009 hasta diciembre de 2009 Félix García Carballeira 9 15.000 euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Almacenamiento de altas prestaciones en entornos grid. TIN2004-02156 Ministerio de Educación y Ciencia Universidad Carlos III de Madrid Desde diciembre de 2004 hasta diciembre de 2007 Félix García Carballeira 15 146.280 euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes:
Técnicas de almacenamiento de altas prestaciones, fiables y seguras en entornos GRID Ref: TIC2003-01730 Ministerio de Ciencia y Tecnología Ministerio de Ciencia y Tecnología Desde diciembre 2003 hasta diciembre-2004 Félix García Carballeira 10
5
Presupuesto: 13.800 euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Técnicas de tolerancia a fallos para sistemas de ficheros paralelos con aplicación a sistemas de Data Mining. Ref: 07T/0020/2003 1 Comunidad de Madrid – Fondo Europeo de Desarrollo Regional (UE). Universidad Carlos III de Madrid, Universidad Politécnica de Madrid Desde octubre de 2003 hasta octubre-2004 Félix García Carballeira 7 22.735,56 euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Sistema de ficheros paralelo basado en servidores NFS. Ref: 07T/0013/2001 Comunidad de Madrid – Fondo Europeo de Desarrollo Regional (UE). Universidad Carlos III de Madrid Desde enero de 2002 hasta diciembre de 2002 Félix García Carballeira 6 13.132,11 euros.
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Técnicas de cifrado, compresión de datos y almacenamiento de altas prestaciones para la identificación de personas a través de Internet mediante reconocimiento de voz. Instituto Duque de Ahumada de Estudios para la Seguridad. Universidad Carlos III de Madrid Universidad Carlos III de Madrid Desde octubre de2001 hasta octubre de 2002 Félix García Carballeira 6 6.000 euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Computación de altas prestaciones en clusters de estaciones de trabajo heterogéneas. Aplicación a la fusión nuclear por confinamiento inercial. TIC2000-0469 Ministerio de Ciencia y Tecnología Universidad Carlos III de Madrid Desde diciembre de 2000 hasta diciembre de 2003 Félix García Carballeira 8 43.753,68 euros
6
PARTICIPACIÓN EN PROYECTOS DE INVESTIGACIÓN
Título del proyecto:
Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes (UC3M): Presupuesto:
ASPIDE: exAScale ProgramIng models for extreme Data procEssing (H2020-EXC-FETHPC) Comisión Europea. Action No: H2020-EXC-FETHPC Universidad Carlos III de Madrid y otras 7 más junio 2018-diciembre 2010 Francisco Javier García Blas 6 2.464.093,75 Euros
Título del proyecto:
Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes (UC3M): Presupuesto:
eID@Cloud - Integrating the eIdentification in European cloud platforms according to the eIDAS Regulation (INEA/CEF/ICT/A2016/1271635) Comisión Europea. Action No: 2016-EU-IA-0064 Universidad Carlos III de Madrid y otras 4 más Mayo 2017-mayo 2018 Jesús Carretero Pérez 9 748.000 Euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes (UC3M): Presupuesto:
Multiple Access to eDElivery (MADE). 2016-EU-IA-0063 Comisión Europea. Universidad Carlos III de Madrid y otras 4 más enero 2017- diciembre 2018 Jesús Carretero Pérez 9 706.148 Euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes (UC3M): Presupuesto:
RePhrase: REfactoring Parallel Heterogeneous Resource-Aware Applications- a Software Engineering Approach (644235-H2020-ICT-2014-1) Comisión Europea Universidad Carlos III de Madrid y otras 7más Abril 2015-marzo2018 José Daniel García Sánchez 9 355.416 Euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes (UC3M): Presupuesto:
REPARA: Reengineering and Enabling Performance And poweR of Applications (PPI-B) (FP7-ICT-2013-10) Comisión Europea Universidad Carlos III de Madrid y otras 7más Sept-2013-sept-2016 José Daniel García Sánchez 6 2.671.000 Euros
7
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Sustainable Federated Storage Clouds for Massive Data Sharing Communities. TIN2010-12056-E Ministerio de Ciencia e Innovación Universidad Carlos III de Madrid. 2011-2012 Florin Isaila 5 2000 Euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
NIMBO: juegos distribuidos. Ref: Subprograma IMPACTO, IPT-430000-2010-0014 Ministerio de Ciencia e Innovación Universidad Carlos III de Madrid, Pyxel Arts Septiembre de 2010 - junio 2013 Jesús Carretero Pérez 10 328.300 Euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Técnicas escalables de entrada/salida en entornos distribuidos y de computación de altas prestaciones. TIN2010-16497 Ministerio de Ciencia e Innovación Universidad Carlos III de Madrid. 2011-2013 Jesús Carretero Pérez 22 202675 Euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Diseño de sistemas clouds de gran escala basados en redes sociales. Acción complementaria TIN2010-09953-E Ministerio de Ciencia e Innovación Universidad Carlos III de Madrid. 2010-2011 Florin Isaila 5 1700 Euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Sistema Escalable de gestión de la entrada/salida. CCG07-UC3M/TIC-3277 Comunidad de Madrid-Universidad Carlos III de Madrid Universidad Carlos III de Madrid. 2007-2008 Jesús Carretero Pérez 15 33150 Euros
8
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Nuevas técnicas de almacenamiento escalable en computación de altas prestaciones. TIN2007-63092 Ministerio de Educación y Ciencia Universidad Carlos III de Madrid Desde octubre de 2007 hasta diciembre de 2010 Jesús Carretero Pérez 20 273460 euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Red de E-Ciencia CAC-2007-52 Ministerio de Educación y Ciencia Coordinador: U. politécnica de Valencia. 2007-2009 Vicente Hernández 473.380 Euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Técnicas de optimización de la entrada/salida en aplicaciones para entornos de computación de altas prestaciones. 2007/04057/001 Comunidad de Madrid – Universidad Carlos III de Madrid Universidad Carlos III de Madrid Desde enero de 2007 hasta diciembre de 2007 Jesús Carretero Pérez 15 14000 euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Red Temática para la Coordinación de Actividades Middleware en Grids. Acción Especial. Acción Complementaria de investigación TIN2005-25849-E Ministerio de Educación y Ciencia 36 centros Desde octubre de 2006 hasta octubre de 2008 Ignacio Martín Llorente (Universidad Complutense de Madrid) 177 participantes 40.000 euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Técnicas de optimización y fiabilidad para sistemas de Entrada/salida escalables de altas prestaciones UC3M –INF-05-003 Comunidad de Madrid Universidad Desde enero de 2006 hasta diciembre de 2006 Jesús Carretero Pérez 14 11.500 euros
Título del proyecto: Red Temática para la Coordinación de Actividades Middleware en
9
Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Grids. Acción Especial. TIC2002-12422-E Ministerio de Ciencia y Tecnología 64 Investigadores de 18 Organismos Públicos y 3 Empresas Desde junio de 2004 hasta junio de 2006 Ignacio Martín Llorente (Universidad Complutense de Madrid) 64 27.000 euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Preparación de proyectos GRID en el marco de las iniciativas de e-Ciencia en Europa. Acción Especial del MCyT Ministerio de Ciencia y Tecnología 27 grupos de investigación de Universidades y centros del CSIC Desde octubre de 2002 hasta octubre de 2003 José Francisco Salt Cairols 190 21.000 euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Reliability Centred Maintenance Approach for the Infrastructure and Logistics of Railway Operation . Ref. 2000-RD.10810 Unión Europea. V programa marco Consorcio de universidades y empresas europeas 1999-2001 Jesús Carretero Pérez 8 (investigadores españoles) 214.000 euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Diseño e implantación de un modelo de comunicaciones mejorado y de técnicas multimedia para su uso en servidores HTTP. TIC2000-0472-C03-01 Ministerio de Ciencia y Tecnología Universidad Carlos III de Madrid, Universidad Politécnica de Madrid y Universidad Politécnica de Valencia Desde diciembre de 2000 hasta diciembre de 2003 Jesús Carretero Pérez 6 70.679,03 euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Técnicas de Identificación de Personas a Través de Internet mediante Reconocimiento de Voz. Instituto Duque de Ahumada de Estudios para la Seguridad. Universidad Carlos III de Madrid. Universidad Carlos III de Madrid Desde octubre de 2000 hasta octubre de 2001 Jesús Carretero Pérez 6 12.000 euros
10
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Sistema de ficheros distribuido y paralelo tolerante a fallos y con optimización de escrituras. TIC97-0955 CICYT. Ministerio de Educación Ciencia. Universidad Politécnica de Madrid 1997-2000 Pedro de Miguel Anasagasti 8 25.500.000 pts
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes:
Técnicas de Paralelismo en la adquisición, visualización, tratamiento y almacenamiento de imágenes. TIC94-0158-C03-01 CICYT. Ministerio de Educación Ciencia. Universidad Politécnica de Madrid 1993-1996 Pedro de Miguel Anasagasti 12
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes:
GPMIMD: Genera Purpose MIMD Machine. ESPRIT P-5404 Unión Europea. Proyecto Esprit. Consorcio de empresas y universidades europeas 1991-1995 Pedro de Miguel Anasagasti 7
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes:
GPMIMD: Datos e instrucciones múltiples de propósito general. TIC93-1142-CE CICYT. Ministerio de Educación Ciencia. Universidad Politécnica de Madrid 1993-1996 Pedro de Miguel Anasagasti 12
11
PUBLICACIONES
Libros
Autores: Título: Fecha de Publicación: Editorial: Número de páginas: ISBN:
F. García-Carballeira, J. Carretero, F. Pérez Prácticas de sistemas operativos. Segunda Edición 2017 Createspace; Edición: 2 (29 de mayo de 2017) 252 978-1547039883
Autores: Título: Fecha de Publicación: Editorial: Número de páginas: ISBN:
J. Carretero, F. García-Carballeira, F. Pérez Problemas resueltos de sistemas operativos. Segunda Edición 2015 Createspace; Edición: 2 (30 de septiembre de 2015) 412 978-1517533403
Autores: Título: Fecha de Publicación: Editorial: Número de páginas: ISBN:
F. García, J. D. García, D. Expósito, J. Carretero Problemas resueltos de estructura de computadores. Segunda Edición 2015 Paraninfo 312 978-84-283-3701-4
Autores: Título: Fecha de Publicación: Editorial: Número de páginas: ISBN:
F. García, J. D. García, D. Expósito, J. Carretero Problemas resueltos de estructura de computadores 2009 Thomson 224 978-84-9732-536-3
Autores: Título: Fecha de Publicación: Editorial: Número de páginas: ISBN:
F. García, J. Carretero, J. M. Pérez, A. Calderón, J. Fernández, J. D. García, L M. Sánchez Programación en lenguajes estructurados 2008 Paraninfo Cengage Learning 447 978-84-9732-549-3
Autores: Título: Fecha de Publicación: Editorial: Número de páginas: ISBN:
J. Carretero, F. García, J. M. Pérez, J. Fernández, A. Calderón Fundamentos de Programación 2007 Thomson 365 978-84-9732-550-9
12
Autores: Título: Fecha de Publicación: Editorial: Número de páginas: ISBN:
J. Carretero, F. García, P. De Miguel y F. Pérez Sistemas Operativos, 2ª edición 2007 McGraw-Hill 817 978-84-481-5643-5
Autores: Título: Fecha de Publicación: Editorial: Número de páginas: ISBN:
J. D. García, J. M. Pérez, L. M. Sánchez , J. Carretero, F. García Problemas resueltos de programación en C++ 2004 Thomson 351 84-9732-291-6
Autores: Título: Fecha de Publicación: Editorial: Número de páginas: ISBN:
F. Pérez, J. Carretero, F. García Problemas de Sistemas Operativos: de la Base al Diseño 2003 McGraw-Hill 470 84-481-3991-7
Autores: Título: Fecha de Publicación: Editorial: Número de páginas: ISBN:
S. Rodríguez, F. Pérez, J. Carretero, F. García, A. García, D. Nevado, M. S. Pérez, V. Robles, F. Rosales Programación de Aplicaciones Web 2003 Thomson 586 84-9732-181-2
Autores: Título: Fecha de Publicación: Editorial: Número de páginas: ISBN:
F. García, J. Carretero, A. Calderón, J. Fernández, J. M. Pérez Problemas resueltos de programación en C 2003 Thomson 275 84-9732-102-2
Autores: Título: Fecha de Publicación: Editorial: Número de páginas: ISBN:
J. M. Pérez, J. Carretero, F. García, J. M. Pérez Problemas resueltos de programación en Java 2003 Thomson 323 84-9732-176-6
Autores: Título: Fecha de Publicación: Editorial: Número de páginas: ISBN:
J. Carretero, F. García, F. Pérez Prácticas de Sistemas Operativos: de la Base al Diseño 2002 McGraw-Hill 307 84-481-3662-4
13
Autores: Título: Fecha de Publicación: Editorial: Número de páginas: ISBN:
F. García, J. Carretero, J. Fernández, A. Calderón El lenguaje de programación C: Diseño e implementación de programas 2002 Pearson Educación 598 84-205-3178-2
Autores: Título: Fecha de Publicación: Editorial: Número de páginas: ISBN:
J. Carretero, F. García, P. De Miguel, F. Pérez Sistemas Operativos: una visión aplicada 2001 McGraw-Hill 732 84-481-3001-4
Autores: Título: Fecha de Publicación: Editorial: Número de páginas: ISBN:
S. Arévalo, J. Carretero, F. García, P. de Miguel, F. Pérez, F. Rosales Problemas de Sistemas Operativos 1999 Servicio de Publicaciones de la Facultad de Informática, Universidad Politécnica de Madrid 313 84-85646-44-4
Autores: Título: Fecha de Publicación: Editorial: Número de páginas: ISBN:
J. Carretero, A. García, F. García, F. Pérez, S. Rodríguez, F. J. Rosales, M. S. Pérez Descubra Internet 2001 Pearson Educación 455 84-205-3511-7
14
Traducción de libros técnicos
Autor: A. Forouzan Título: Transmisión de datos y redes de computadores (4ª edición) Traductores: J. Carretero y F. García Editorial: McGraw-Hill Fecha de Publicación: 2007 ISBN: 978-0-07-296775-3
Autor: A. Forouzan Título: Transmisión de datos y redes de computadores (2ª edición) Traductores: J. Carretero y F. García Editorial: McGraw-Hill Fecha de Publicación: 2002 Número de páginas: 887 ISBN: 84-481-3390-0
Autores: M. Henning, S. Vinoski Título: Programación Avanzada en CORBA con C++ Traductores: J. Carretero, F. García, J. Fernández, A. Calderón Editorial: Pearson Educación Fecha de Publicación: 2002 Número de páginas: 1152 ISBN: 84-7829-048-6
Autores: K. Siyan Título: Microsoft Windows 2000 TCP/IP Traductores: J. Carretero, F. García, F. Fernández, A. Calderón Editorial: Pearson Educación Fecha de Publicación: 2001 Número de páginas: 1088 ISBN: 84-205-2947-8
Autores: C. T. Wu Título: Introducción a la Programación orientada a Objetos con Java Traductores: J. Carretero y F. García Editorial: McGraw-Hill Fecha de Publicación: 2001 Número de páginas: 827 ISBN: 84-481-3194-0
15
Autores: J. P. Cohoon, J. W. Davidson Título: Programación y diseño de en C++ . Introducción a la programación y al
diseño orientado a objetos (2ª edición) Traductores: J. Carretero, F. García, F. Pérez Editorial: McGraw-Hill Año de publicación: 2000 Número de páginas: 1022 ISBN: 84-481-2682-3
Autores: J. L. Antonakos, K. C. Mansfield Título: Programación Estructurada en C Traductores: J. Carretero, F. Pérez, F. García Editorial: Prentice Hall Año de publicación: 1997 Número de páginas: 584 ISBN: 84-89660-23-9
16
Capítulos de libros
Autores: Título: Fecha de Publicación: Editorial: ISBN:
Jesus Carretero, Felix García Edición de número especial: Heterogeneous Architectures and High Performance Computing. Computer and Electrical Engineering. Noviembre de 2013 elsevier 0045-7906
Autores: Título: Capítulo del libro: Fecha de Publicación: Editorial: Número de páginas: ISBN:
M. S. Pérez, J. Carretero, F. García, J. M. Peña, V. Robles A Multiagent Infrastructure for Data-Intensive Grid Applications New Horizons of Parallel and Distributed Computing 2005 Springer. Editado por M. Guo y L. T. Yang 333. (Pags. 301-311) 0-387-24434-4
Autores: Título: Autores del libro: Fecha de Publicación: Capítulo del libro: Autores del capítulo: Editorial: Número de páginas: ISBN:
J. Carretero, F. García, D. Sevilla Programación Avanzada en CORBA con C++. M. Henning, S. Vinoski 2002 Nuevas características de CORBA 3.0 (Capítulo 23, Pags. 959-1059 ) J. Carretero, F. García, D.ego Sevilla Pearson Educación 1152 84-7829-048-6
17
Artículos en revista
Autores: Título: Revista: Editorial: ISSN: JCR:
Saul Alonso-Monsalve, Félix García-Carballeira, Alejandro Calderon. A Heterogeneous Mobile Cloud Computing Model for Hybrid Clouds Future Generation Computer Systems. Vol. 87, Octubre 2018. Pp. 651-666 Elsevier 0167-739X 4.639 en el 2017 Lugar que ocupa en el área de " Computer Science, Theory and Methods”: 7/103 (Q1)
Autores: Título: Revista: Editorial: ISSN: JCR:
Saul Alonso-Monsalve, Félix García-Carballeira, Alejandro Calderon. ComBoS: A Complete Simulator of Volunteer Computing and Desktop Gridss Simulation Modelling Practice and Theory, Vol 77, septiembre 2017, pp. 197-211 Elsevier 1569-190X 2.092 en el 2017 Lugar que ocupa en el área de " COMPUTER SCIENCE, SOFTWARE ENGINEERING”: 21/104 (Q1)
Autores: Título: Revista: Editorial: ISSN: JCR:
Saul Alonso-Monsalve, Félix García-Carballeira, Alejandro Calderon. A New Volunteer Computing Model for Data-Intensive Applications Concurrency and Computation: Practice and Experience, junio 2017. DOI: 10.1002/cpe.4198 WILEY-BLACKWELL 1532-0626 1.114 en el 2017 Lugar que ocupa en el área de "Computer Science, Theory and Methods ”: 53/103 (Q3)
Autores: Título: Revista: Editorial: ISSN: JCR:
Manuel F. Dolz, David del Rio Astorga, Javier Fernández, Massimo Torquati, J. Daniel García, Félix García-Carballeira, Marco Danelutto. Enabling Semantics to Improve Detection of Data Races and Misuses of Lock-Free Data Structures Concurrency and Computation: Practice and Experience, Abril 2017. DOI: 10.1002/cpe.4114 WILEY-BLACKWELL 1532-0626 1.114 en el 2017 Lugar que ocupa en el área de "Computer Science, Theory and Methods ”: 53/103 (Q3)
18
Autores: Título: Revista: Editorial: ISSN:
Alejandro Calderón, Félix García-Carballeira, Javier Prieto. WepSIM: Simulador modular e interactivo de un procesador elemental para facilitar una visión integrada de la microprogramación y la programación en ensamblador ENSEÑANZA Y APRENDIZAJE DE INGENIERÍA DE COMPUTADORES Revista de Experiencias Docentes en Ingeniería de Computadores. Nº 6, 2016 Departamento de Arquitectura y Tecnología de Computadores. Universidad de Granada 2173-8688
Autores: Título: Revista: Editorial: ISSN: JCR:
Silvina Caino-Lores, Alberto García, Felix Garcia-Carballeira, Jesus Carretero. Efficient design assessment in the railway electric infrastructure domain using cloud computing Integrated Computer-Aided Engineering, vol 24, nº 1, 57-72, 2017 SAGE Publications 1069-2509 3.667 en el 2017 Lugar que ocupa en el área de "Computer Science, Interdisciplinary Applications”: 17/105 (Q1)
Lugar que ocupa en el área de "Engineeriung, multidisciplinary”: 7/86 (Q1)
Autores: Título: Revista: Editorial: ISSN: JCR:
Alejandro Calderón, Alberto García, Felix Garcia-Carballeira, Jesus Carretero, Javier Fernéndez Improving performance using computational compression through memoization: A case of study with the Railway Simulator International Journal of High Performance Computing Applications, marzo 2016 SAGE Publications 1094-3420 2.097 en el 2016 Lugar que ocupa en el área de "Computer Science, Theory & Methods”: 48/105 (Q2)
Lugar que ocupa en el área de "Computer Science, HW & Architecture”: 15/52 (Q2)
Autores: Título: Revista: Editorial: ISSN: JCR:
Silvina Caíno-Lores, Alberto García Fernández, Félix García-Carballeira, Jesús Carretero Pérez A cloudification methodology for multidimensional analysis: implementation and application to a railway power simulator. Simulation Modelling Practice and Theory. Vol. 55, Pp. 46-62, junio 2015 Elsevier 1569-190X 1.415 en el 2015 Lugar que ocupa en el área de " COMPUTER SCIENCE, SOFTWARE ENGINEERING”: 22/106 (Q1)
Autores: Título:
David E. Singh, Florin Isaila, Félix García and Jesús Carretero Magio: using mobile agents to enhance parallel I/O
19
Revista: Editorial: ISSN: JCR:
Computer Systems, Science and Engineering. Vol. 30, No. 2. 2015 C R L PUBLISHING LTD 0267-6192 0.291 en el 2015 Lugar que ocupa en el área de " COMPUTER SCIENCE, HARDWARE & ARCHITECTURE”: 48/51 (Q4)
Autores: Título: Revista: Editorial: ISSN: JCR:
A. García, C. Gómez, R. Saa, F. García-Carballeira, J. Carretero Optimizing the process of designing and calculating railway catenary support infrastructure using a high-productivity computational tool Transportation Research Part C- Emerging Technologies. 28(2013), Pp. 1-14 PERGAMON-ELSEVIER SCIENCE LTD 0968-090X 2.820 en el 2013 Lugar que ocupa en el área de " TRANSPORTATION SCIENCE & TECHNOLOGY”: 3/32 (Q1)
Autores: Título: Revista: Editorial: ISSN: JCR:
J. D. Garcia, J. Carretero, J. Garcia, L. M. Sanchez, F. Garcia-Carballeira A Web Cluster Architectural Proposal for Balancing Storage Capacity and Reliability by using Partial Replication International Journal of Computer Systems Science and Engineering. Vol 28, nº 3, Pags. 191-202, mayo 2013 C R L PUBLISHING LTD 0267-6192 0.235 en el 2013 Lugar que ocupa en el área de: COMPUTER SCIENCE, HARDWARE & ARCHITECTURE: 48/50 (Q4) COMPUTER SCIENCE, THEORY & METHODS: 99/102 (Q4)
Autores: Título: Revista: Editorial: ISSN: JCR:
C. Gómez, R. Saa, A. García, F. García-Carballeira, J. Carretero A model to obtain optimal designs of railway overhead knuckle junctions using simulation Simulation Modelling Practice and Theory, Vol. 26, Pags: 16-31, 2012 Elsevier Science 1569-190X 1.159 Lugar que ocupa en el área de " COMPUTER SCIENCE, SOFTWARE ENGINEERING”: 40/105 (Q2)
Autores: Título: Revista: Editorial:
R. Saa, C. Gómez, A. García, J. Carretero, F. García-Carballeira An ontology-driven decision support system for high-performance and cost-optimized design of complex railway portal frames International Journal Expert Systems With Applications, Vol. 39, Nº 2, Pags: 8784-8792, 2012 Elsevier Science
20
ISSN: JCR:
0957-4174 1.854 Lugar que ocupa en el área de "ENGINEERING, ELECTRICAL & ELECTRONIC”: 56/242 (Q1) “COMPUTER SCIENCE, ARTIFICIAL INTELLIGENCE”: 31/114 (Q2) “OPERATIONS RESEARCH & MANAGEMENT SCIENCE”: 13/78 (Q1)
Autores: Título: Revista: Editorial: ISSN: JCR:
A. Nuñez, J. Fernández, R. Filgueira, F. García, J. Carretero SIMCAN: A flexible, scalable and expandable simulation platform for modelling and simulating distributed architectures and applications Simulation Modelling Practice and Theory. 20(1), January, 2012, pp. 12-32, Elsevier Science 1569-190X 1.159 Lugar que ocupa en el área de " COMPUTER SCIENCE, SOFTWARE ENGINEERING”: 40/105 (Q2)
Autores: Título: Revista: Editorial: ISSN: JCR:
A. Calderón, F. García-Carballeira. L. M. Sanchez, B. Bergua, J. Carretero Expanding the volunteer computing scenario: A novel approach to use parallel applications on volunteer computing Future Generation Computer Systems, Volume 28, Issue 6, Pages 881-889. 2012 Elsevier Science 0167-739X 1,864 Lugar que ocupa en el área de "Computer Science, Theory & Methods”: 15/100 (Q1)
Autores: Título: Revista: Editorial: ISSN: JCR:
R. Filgueira, D. Expósito, J. Carretero, A. Calderón, F. García-Carballeira Adaptive CoMPI: Enhancing MPI based applications performance and scalability by using adaptive compression International Journal on High Performance Computing Applications. Nº 25, Pp. 93-114, Feb 2011 SAGE Publications 1094-3420 0,643 en el 2011 Lugar que ocupa en el área de "Computer Science, Theory & Methods”: 63/99 (Q3)
Autores: Título: Revista: Editorial: ISSN: JCR:
Víctor Méndez Muñoz, Gabriel Amorós Vicente, Félix García Carballeira, José Salt Cairols Emergent Algorithms for Replica Location and Selection in Data Grid Future Generation Computer Systems. Volumen 26, nº 7, Pp. 934-946. 2010. http://dx.doi.org/10.1016/j.future.2010.03.007 Elsevier Science 0167-739X 2,365 en el 2010 Lugar que ocupa en el área de "Computer Science, Theory & Methods”: 9/97 (Q1)
21
Autores: Título: Revista: Editorial: ISSN: JCR:
J. M. Pérez, F. García, J. Carretero, A. Calderón, J. Fernández Branch Replication: A New Model for Data Replication in Large Scale Data Grids Future Generation Computer Systems. Volumen 26, Nº 1, Pags 12-20. 2010 Elsevier Science 0167-739X 2,365 en el 2010 Lugar que ocupa en el área de "Computer Science, Theory & Methods”: 9/97 (Q1)
Autores: Título: Revista: Editorial: ISSN: JCR:
F. J. García, F. Isaila, D. Expósito, J. Carretero, F. García-Carballeira Implementation and Evaluation of File Write-Back and Prefetching for MPI-IO over GPFS International Journal on High Performance Computing Applications. Febrero de 2010, vol. 24, Nº 1. Pags: 78-92 SAGE Publications 1094-3420 1,171 en el 2010 Lugar que ocupa en el área de "Computer Science, Theory & Methods”: 39/97 (Q2)
Autores: Título: Revista: Editorial: ISSN: JCR:
A. Nuñez, J. Fernández, J. D. García, F. García, J. Carretero New Techniques for Simulating High Performance MPI Applications on Large Storage Networks Journal of Supercomputing. Vol. 51, Nº 1, enero de 2010. Pags: 40-57 Springer 0920-8542 0.687 en el 2009 Lugar en el área de "Computer Science, Hardware & Architecture”: 35/48 (Q3)
Autores: Título: Revista: Editorial: ISSN:
David E. Singh, Alejandro Miguel, Félix García, Jesús Carretero. Mobile Agent Systems Integration into Parallel Environments. Scalable Computing: Practice and Experience (SCPE), 2008. Nova Science Publishers, 1895-1767.
Autores: Título: Revista: Editorial: ISSN: JCR:
A. Calderon, F. Garcia-Carballeira, L. M. Sánchez, J. D. Garcia, J. Fernández, Fault tolerant file models for parallel file systems: introducing distribution patterns for every file Journal of Supercomputing, Vol 47, Issue 3, 2009 Springer 0920-8542 0.687 en el 2009 Lugar que ocupa en el área de "Computer Science, Hardware & Architecture”: 32/49 (Q3)
Autores: Título: Revista:
J. D. Garcia, J. Carretero, F. Garcia, J. Fernandez, D. E. Singh, A. Calderón Reliable Partial Replication of Contents in Web Clusters: Getting Storage without losing Reliability Journal of Computers (JCP). Vol. 1, Nº 7, octubre/noviembre de 2006. Pags. 81-88
22
Editorial: ISSN:
Academy Publisher 1796-203X
Autores: Título: Revista: Editorial: ISSN: JCR:
F. García, J. Carretero, A. Calderón, J. D. García, L. M. Sánchez A global and parallel file system for Grids Future Generation Computer Systems. Vol. 23, 2007. Pags. 116-122 Elsevier 0167-739X 1.095 Lugar que ocupa en el área de "Computer Science, Theory & Methods”: 27/79 (Q2)
Autores: Título: Revista: Editorial: ISSN: JCR:
M.S. Pérez, J. Carretero, F. García, J. M. Peña, V. Robles MAPFS: A Flexible Multiagent Parallel File System for Clusters Future Generation Computer Systems. Vol. 22, 2006. Pags. 620-632 Elsevier 0167-739X 0.722 Lugar que ocupa en el área de "Computer Science, Theory & Methods”: 43/75 (Q3)
Autores: Título: Revista: Editorial: ISSN:
J. D. García, J. Carretero, J. M. Pérez, F. García, R. Filgueira Specifying use case behavior with interaction models Journal of Object Technology, vol. 2, no. 2. marzo-abril de 2003 http://www.jot.fm/issues/issue_2005_11/article5 ETH Zurich 1660-1769
Autores: Título: Revista: Editorial: ISSN: JCR:
F. García, J. Carretero, A. Calderón, J. M. Pérez, J. D. Garcia An Adaptive Cache Coherence Protocol Specification for Parallel Input/Output Systems IEEE Transactions on Parallel and Distributed Systems. Vol.15, N. 6. Junio de 2004. Pags. 533-545 IEEE Computer Society 1045-9219 1.190 Lugar que ocupa en el área de "Computer Science, Theory & Methods”: 20/70 (Q2)
Autores: Título: Revista: Editorial: ISSN: JCR:
J. Carretero, J. M. Pérez, F. García, A. Calderón, J. Fernández, J. D. García, A. Lozano, L. Cardona, N. Cotaina, P. Prete Applying RCM in Large Scale Systems: a Case Study with Railway Networks Reliability Engineering qnd System Safety. Vol. 82, 2003. Pags. 257-273 Elsevier 0.741 Lugar que ocupa en el área de "Engineering, Industrial”: 6/33 (Q1) Lugar que ocupa en el área de "Operations Research & Management Science”: 12/57 (Q1)
Autores: Título: Revista:
F. García, A. Calderón, J. Carretero, J. Fernández, J. M. Pérez The Design of the Expand Parallel File System International Journal of High Performance Computing Applications. Vol. 17. Nº 1, Spring
23
Editorial: ISSN: JCR:
2003. Pags. 21-37 SAGE Publications 1094-3420 2.311 Lugar que ocupa en el área de "Computer Science, Theory & Methods”: 10/70 (Q1) Lugar que ocupa en el área de “Computer Science, Hardware & Architecture”: 7/47 Lugar que ocupa en el área de “Computer Science, Interdisciplinary Applications”: 9/83
Autores: Título: Revista: Editorial: ISSN: JCR:
J. Carretero, J. Fernández, F. García, A. Choudhary A Hierarchical Disk Scheduler for Multimedia Systems Future Generation Computer System, Vol. 19, 2003. Pags. 23-35 Elsevier 0167-739X 0,007 Lugar que ocupa en el área de "Computer Science, Theory & Methods”: 70/70 (Q4)
Autores: Título: Revista: Editorial: ISSN:
R. Menchaca, F. García Java RMI Revista Digital Universitaria, Vol 2, Num 1, marzo de 2001. Universidad Nacional Autónoma de México. http://www.revista.unam.mx/vol.2/num1/art3 Coordinación de Publicaciones Digitales. Dirección General de Servicios de Cómputo Académico-UNAM 1607-6079
Autores: Título: Revista: Editorial: ISSN:
R. Menchaca, F. García Arquitectura de la Máquina Virtual Java Revista Digital Universitaria, Vol 1, Num 2, octubre de 2000. Universidad Nacional Autónoma de México. http://www.revista.unam.mx/vol.1/num2/art4 Coordinación de Publicaciones Digitales. Dirección General de Servicios de Cómputo Académico-UNAM 1607-6079
Autores: Título: Revista: Editorial: ISSN:
F. García, J. Fernández POSIX Threads Libraries Linux Journal. Febrero 2000. http://www2.linuxjournal.com/lj-issues/issue70/3184.html Specialized Systems Consultans, Inc. 1075-3583
Autores: Título: Revista: Editorial: ISSN: JCR:
F. Pérez, J. Carretero, F. García, P. de Miguel y L. Alonso Evaluating ParFiSys: a High-Performance Parallel and Distributed File System Journal of System Architecture. Vol. 43. Issue 8, 1997 Pags:533-542 Elsevier 1383-7621 0.173 Lugar que ocupa en el área de “Computer Science, Hardware & Architecture”. 33/45 (Q3)
24
Autores: Título: Revista: Editorial: ISSN: JCR:
J. Carretero, F. Pérez, P. de Miguel, F. García, L. Alonso Performance Increase Mechanisms for Parallel and Distributed File Systems Parallel Computing: Special Issue on Parallel I/O Systems. Vol. 23. 1997. Pags. 525-542. Elsevier 0167-8191 0,583 Lugar que ocupa en el área de "Computer Science, Theory & Methods”: 15/59 (Q2)
Autores: Título: Revista: Editorial: ISSN:
J. Carretero, F. Pérez, P. de Miguel, F. García, L. Alonso ParFiSys: A Parallel File System for MPP ACM Operating Systems Review. Vol. 30 Nº. 2 . Abril de 1996. Pags. 74-80 ACM 0163-5980
Autores: Título: Revista: Editorial: ISSN:
J. Carretero, F. Pérez, P. de Miguel, F. García, L. Alonso A Massively Parallel and Distributed I/O Subsystem ACM Computer Architecture News. Vol. 24 Nº 3. Junio de 1996. Pags. 1-8 ACM 0163-5964
Autores: Título: Revista: Editorial: ISSN:
J. Carretero, F. Pérez, P. de Miguel, F. García, L. Alonso A Multiprocessor Parallel Disk System Evaluation IFIP Transactions. Decentralized and Distributed Systems. (Reprinted from the International Conference on Decentralized and Distributed Systems). 1993. Pags. 187-198 North-Holland 0-444-81791-3
25
PARTICIPACION EN CONTRATOS DE INVESTIGACION DE ESPECIAL RELEVANCIA CON EMPRESAS Y/O ADMINISTRACIONES
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Colaboración en el diseño, desarrollo, modelización y validación de una herramienta para cálculo de catenaria TELICE Universidad Carlos III de Madrid Julio de 2013 a marzo de 2015 Félix García Carballeira 4 86.520 euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Proyecto para la Investigación sobre la aplicación de las TIC a la innovación de las diferentes infraestructuras correspondientes a las instalaciones de electrificación y suministro de energía (SIRTE). Administrador de infraestructuras ferroviarias (ADIF), Universidad Carlos III de Madrid Febrero de 2010 a septiembre de 2017 Jesús Carretero Pérez 6 368.808,44 euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Investigación sobre Métodos Avanzados de Distribución de Conjuntos de Datos "Calientes" de Misiones de Observación de la Tierra. Ingeniería y servicios aeroespaciales, S.A Universidad Carlos III de Madrid Desde el 20/11/2007 al 20/11/2008 Jesús Carretero Pérez 6 16000 euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Integración del sistema de ficheros paralelo Expand en entornos científicos astronómicos Ingeniería y Servicios Aeroespaciales, S.A. (INSA) Universidad Carlos III de Madrid Desde el 26/07/2007 24/07/2008 Félix García Carballeira 4 16.000 euros
26
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Estudio y realización de programas de cálculo de pórticos rígidos de catenaria (CALPOR) y de Sistemas de simulación de montaje de agujas aéreas de línea aérea de contacto (SIA). 2007/04058/001 ADIF Universidad Carlos III de Madrid Desde el 07/02/2007 07/02/2009 Jesús Carretero Pérez 6 466.681,00 euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Adaptación de la Herramienta RCM a la interfaz GIS del entorno GEOMIF RENFE Universidad Carlos III de Madrid Desde octubre de 2005 hasta junio de 2006 Jesús Carretero Pérez 5 35.850
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Applying Windows CE .NET to avionic industry: data acquisition and monitoring system for ARINC 429 avionic instruments Microsoft Research. Microsoft Research Innovation Excellence Awards for Embedded Systems Universidad Carlos III de Madrid Desde septiembre de 2003 hasta septiembre de 2004 Jesús Carretero Pérez 6 25.000 euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Programa de investigación en sistemas de prueba y prototipado para aviónica EADS Construcciones Aeronáuticas S.A. Universidad Carlos III de Madrid Desde junio de 2003 hasta diciembre de 2004 Jesús Carretero Pérez 5 80.500 euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Parallel File System for Clusters of Workstations Microsoft Research Universidad Carlos III de Madrid Desde mayo de 2001 hasta mayo de 2003 Jesús Carretero Pérez 3 7.500 euros
27
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Planificación del mantenimiento preventivo de la infraestructura ferroviaria mediante una metodología basada en la fiabilidad y seguridad (RCM) Fundación de los Ferrocarriles Españoles Universidad Carlos III de Madrid Desde enero de 2002 hasta diciembre de 2003 Jesús Carretero Pérez 5 12.000
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Metodología para el Desarrollo de las Aplicaciones de Cálculos de Pórticos (CR-200) y de Agujas RENFE Universidad Carlos III de Madrid Desde abril de 2002 hasta abril de 2003 Jesús Carretero Pérez 5 20.580 euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Desarrollo e implementación del sistema RAIL-RCM en RENFE RENFE Universidad Carlos III de Madrid 2002-2003 Jesús Carretero Pérez 4 63.624 euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Mejora de la aplicación CALPOR (Cálculo de Pórticos Rígidos) Fundación General de la Universidad Politécnica de Madrid - RENFE Universidad Carlos III de Madrid Enero de 2001 hasta enero de 2002 Félix García Carballeira 3 3.455,82 euros
Título del proyecto: Entidad financiadora: Entidades participantes: Duración: Investigador principal: Participantes: Presupuesto:
Middleware CORBA de comunicaciones para el avión P-3 Orion MP/ASW Grupo Álamo Sistemas y Construcciones Aeronáuticas S.A Universidad Carlos III de Madrid Desde septiembre de 2000 hasta mayo de 2001 Jesús Carretero Pérez 4 9.375,79 euros
28
PATENTES Y MODELOS DE UTILIDAD
Inventores: Título: N. de solicitud: Fecha: Entidad titular: Países a los que se ha extendido: Empresas que la están explotando:
José Daniel García Sánchez, Rafael Sotomayor Fernández, Javier Fernández Muñoz, Luis Miguel Sánchez García, Félix García Carballeira DPE: DYNAMIC PARTITIONING ENGINE
M-002592/2016. Registro general de la propiedad intelectual. 18 de abril de 2016 UC3M Ámbito Europeo
Inventores: Título: N. de solicitud: Fecha: Entidad titular: Países a los que se ha extendido: Empresas que la están explotando:
José Daniel García Sánchez, Rafael Sotomayor Fernández, Javier Fernández Muñoz, Luis Miguel Sánchez García, Félix García Carballeira SPT: STATIC PARTITIONING TOOL
M-002593/2016. Registro general de la propiedad intelectual. 18 de abril de 2016 UC3M Ámbito Europeo
Inventores: Título: N. de solicitud: Fecha: Entidad titular: Países a los que se ha extendido: Empresas que la están explotando:
José Daniel García Sánchez, Rafael Sotomayor Fernández, Javier Fernández Muñoz, Luis Miguel Sánchez García, Félix García Carballeira, David del Rio Astorga, Alejandro Calderón Mateos, Francisco Javier García Blas AKI: AUTOMATIC KERNEL IDENTIFICATION
M-002588/2016. Registro general de la propiedad intelectual. 18 de abril de 2016 UC3M Ámbito Europeo
29
Inventores: Título: N. de solicitud: Fecha Entidad titular: Países a los que se ha extendido: Empresas que la están explotando:
Jesús Carretero Pérez, Félix García Carballeira, Alberto García Fernández, Carlos Gómez Carrasco, Rubén Saa Álvarez, Manuel Carmona Suárez, Mercedes de la Torre Ayuso, Sergio Turiño Villanueva, Javier Barboteo Soria SIRTE: SIMULACIÓN DE LA INTERACCIÓN Y REPLANTEO DE TRAZADOS ELECTRIFICADOS – PÓRTICOS Y AGUJAS M-004202/2013. Registro general de la propiedad intelectual. 21 de mayo de 2013 UC3M, ADIF Ámbito Europeo ADIF
Inventores: Título: N. de solicitud: Fecha Entidad titular: Países a los que se ha extendido: Empresas que la están explotando:
Jesús Carretero Pérez, Félix García Carballeira, Alberto García Fernández, Carlos Gómez Carrasco, Manuel Carmona Suárez, Mercedes de la Torre Ayuso, Jesús Montesinos Ortuño, Javier Barboteo Soria SYCE. – SIMULACIÓN Y CÁLCULO ELÉCTRICO DE LA ELECTRIFICACIÓN FERROVIARIA M-004200/2013. Registro general de la propiedad intelectual. 21 de mayo de 2013 UC3M, ADIF Ámbito Europeo ADIF
Inventores: Título: N. de solicitud: Fecha Entidad titular: Países a los que se ha extendido: Empresas que la están explotando:
J. Carretero, F. Pérez, P. de Miguel, F. García, L. Alonso Sistema de ficheros paralelo ParFiSys 50869. Registro general de la propiedad intelectual. 1996 Universidad Politécnica de Madrid Ámbito Europeo ETRI, Korea
30
ESTANCIAS EN CENTROS EXTRANJEROS
Departamento: Universidad: Investigador de contacto: Actividad: Fecha:
National Transputer Support Center. Department of Computer Science University of Sheffield, Sheffield, Reino Unido Jon M. Kerridge Transporte del sistema de ficheros ParFiSys a un multicomputador con Transputers T9000 Octubre de 1995 a diciembre de 1995
31
CONGRESOS Artículos publicados en actas de congresos internacionales
Autores: Título: Congreso:
Jesus Carretero, Mario Vasile, Javier Garcia-Blas, Felix García Carballeira A Tool to Provide Advanced Secure Exchange of Electronic Documents through Europe ICCSS 2018: 20th International Conference on Cybernetics of the Security Systems, 13-14 de Agosto, Praga
Autores: Título: Congreso:
Felix García Carballeira, Alejandro Calderón Reducing randomization in the power of two choices load balancing algorithm The 2016 International Conference on High Performance Computing & Simulation (HPCS 2017), Genova, Italia, 17-21 de julio de 2017
Autores: Título: Congreso:
Saúl Alonso Monsalve, Felix García Carballeira, Alejandro Calderón Fog Computing Through Public-Resource Computing and Storage 2nd IEEE International Conference on Fog and Edge Mobile Computing (FMEC 2017), Valencia, 8-11 mayo de 2017
Autores: Título: Congreso:
Saul Alonso Monsalve, Felix García Carballeira, Alejandro Calderon Improving the Performance of Volunteer Computing with Data Volunteers: A Case Study with the ATLAS@home Project ICA3PP: 16th International Conference on Algorithms and Architectures for Parallel Processing, 14-16 de diciembre, Granada, 2016
Autores: Título: Congreso:
Manuel F. Dolz, David Del Río Astorga, Javier Fernandez, Jose Daniel Garcia, Felix Garcia-Carballeria, Marco Danelutto and Massimo Torquati Improving Detection of Data Races and Misuses of Lock-Free Queues via Semantics 19th Workshop on Compilers for Parallel Computing. Valladolid, España, 6-8 de julio de 2016
Autores: Título: Congreso:
Saul Alonso Monsalve, Felix García Carballeira, Alejandro Calderon Analyzing the Performance of Volunteer Computing for Data Intensive Applications The 2016 International Conference on High Performance Computing & Simulation (HPCS 2016), Innsbruck, Austria, 18-22 de julio de 2016
Autores: Título: Congreso:
Manuel F. Dolz, David del Rio Astorga, Javier Fernández, J. Daniel García, Félix García-Carballeira, Marco Danelutto, Massimo Torquati Embedding Semantics of the Single-Producer/Single-Consumer Lock-Free Queue into a Race Detection Tool PMAM16, Barcelona, Spain, March 12, 2016, Proceedings of the 7th International Workshop on Programming Models and Applications for Multicores and Manycores, ACM
32
Autores: Título: Congreso:
Alberto Garcia, Silvina Caino-Lores, Félix García-Carballeira and Jesús Carretero Pérez A Multi-Objetive Simulator for Optimal Power Dimensioning on electric Railways using Cloud Computing SIMULTECH 2015, 5th International Conference on Simulation and Modeling Methodologies, Technologies and Applications. Kolmar, Francia, Julio, 2015
Autores: Título: Congreso:
Carlos Gómez Carrasco, Alberto Garcia, Félix García-Carballeira and Jesús Carretero Pérez A Holistic Approach to Railway Engineering Design Using a Simulation Framework SIMULTECH 2014, 4th International Conference on Simulation and Modeling Methodologies, Technologies and Applications. Vienna, Austria, 28-30 August, 2014
Autores: Título: Congreso:
Silvina Caíno Lores, Alberto Garcia, Félix García-Carballeira and Jesús Carretero Pérez A Cloudification Methodology for Numerical Simulations 1st Workshop on Techniques and Applications for Sustainable Ultrascale computing Systems, in EuroPar 2014 Parallel Processing International Conference, 25-29 August, Porto, Portugal, 2014
Autores: Título: Congreso:
Alberto García, Carlos Gómez, Félix García-Carballeira, Jesús Carretero Enhancing the Structure of Railway Infrastructure Simulators International Conference on Engineering and Applied Sciences Optimization (OPT-i), Kos, Greece, June, 2014
Autores: Título: Congreso:
Alejandro Calderón, Félix García-Carballeira, Daniel Higuero, Javier Fernández, Jesús Carretero, and Borja Bergua Improving MPI applications with a new MPI_Info and the use of the memoization Recent Advances in Message Passing Interface. 20th European MPI Users' Group Meeting. Madrid, 15-18 setp. 2013
Autores: Título: Congreso:
Rubén Saa, Alberto Garcia, Carlos Gomez, Felix Garcia-Carballeira, and Jesus Carretero High-productivity Computational Tool to Model and Calculate Railway Catenary Support Structures The 2012 International Conference of Computer Science and Engineering, London UK, 4-6 July, 2012
Autores: Título: Congreso:
Borja Bergua-Guerra, Félix García-Carballeira, Luis Miguel Sánchez, Alejandro Calderón, Jesús Carretero Improving the performance of the BOINC volunteer computing platform using the Expand parallel file system, 5th IEEE International Conference on e-Science (e-Science 2009), December 9-11, Oxford, United Kingdom, December, 2009
Autores: Título: Congreso:
L. Prada, J. D. García, J. Carretero, F. García Saving power in Flash and Disk Hybrid Storage Aceptado para publicación en 17th Annual Meeting of the IEEE/ACM International Symposium on Modelling, Analysis and Simulation of Computer and Telecommunication Systems. September 21-23, 2009, South Kensington Campus, Imperial College London
Autores: Título:
B. Bergua-Guerra, F. Garcia-Carballeira, L. M. Sanchez, A. Calderon, A. Rodriguez, J. Carretero Architecture for improving data transfers in grid using the Expand parallel file system
33
Congreso: IBERGRID 2009 - 3rd Iberian Grid Infrastructure Conference. 22-22 de mayo de 2009. Valencia.
Autores: Título: Congreso:
Alejandra Rodriguez-Paniagua, Jesus Carretero-Perez, Borja Bergua-Guerra, Felix Garcia-Carballeira An Efficient Deployment Strategy for Large Sets of Virtual Appliances Aceptado para publicación Third International Workshop on Scalable Distributed and Multi/Many-core Applications and Systems (SDMAS'09). July 13-16, 2009, USA
Autores: Título: Congreso:
Alejandra Rodriguez-Paniagua, Jesus Carretero-Perez, Borja Bergua-Guerra, Felix Garcia-Carballeira Resource Selection for Fast Large-Scale Virtual Appliances Propagation Aceptado para publicación IEEE Symposium on Computers and Communications (ISCC'09) July 5 - 8, 2009, Sousse, Tunisia
Autores: Título: Congreso:
B. Bergua, F. Garcia, A. Calderón, L. M. Sánchez, J. Carretero Comparing grid data transfer technologies in the Expand parallel file system 16th Euromicro International Conference on Parallel, Distributed and network-based Processing, PDP-2008. 13-15 de febrero de 2008 Toulouse, France
Autores: Título: Congreso:
D. E. Singh, A. Miguel, F. García, J. Carretero MASIPE: A tool base don mobile agents for monitoring parallel environments PPAM 2007. Seventh International Conference on Parallel Processing and Applied Mathematics. Gdansk, Polonia. 9-12 de septiembre de 2007
Autores: Título: Congeso: Editorial: ISSN:
Alejandro Calderon, Felix Garcia-Carballeira, Florin Isaila, Rainer Keller, Alexander Schulz Fault tolerant file models for MPI-IO parallel file systems EuroPVM/MPI'07. 14th European PVM/MPI Users’ Group Meeting, Paris, Francia. Septiembre-octubre de 2007. Vol 4757, Pags.:142-160 Springer-Verlag Berlin Heidelberg 0302-9743
Autores: Título: Congreso:
A. Calderon, F. Garcia-Carballeira, L. M. Sanchez, J. D. Garcia, J. Fernandez Fault Tolerant File Models for Parallel File Systems: Distribution Pattern Flexibility and its Reliability International Workshop on Scalable Data Management Applications and Systems (SDMAS) within The 2007 International Conference on Parallel and Distributed Processing Techniques and Applications Las Vegas Nevada, USA. 25-28 de junio de2007
Autores: Título: Congreso: Editorial:
F. Isaila, D. Singh, J. Carretero, F. García On evaluating decentralized parallel I/O scheduling strategies for parallel file systems Lecture Notes in Computer Science. Revisión posterior al congreso VEPCAR’06, 7th International Meeting on High Performance Computing for Computacional Science. A publicar en 2007. Vol. 4395. Pags: 120-130 Springer-Verlag Berlin Heidelberg
34
ISSN: 0302-9743
Autores: Título: Congreso: Editorial: ISSN:
V. Méndez, F. García PSO-Grid Data Replication Service Lecture Notes in Computer Science. Revisión posterior al congreso VEPCAR’06, 7th International Meeting on High Performance Computing for Computacional Science. A publicar en 2007. Vol. 4395. Pags: 656-669 Springer-Verlag Berlin Heidelberg 0302-9743
Autores: Título: Congreso:
J. D. García, L. Prada, J. Carretero, F. García, J. Fernández, L. M. Sánchez Dispatching Requests in Partially Replicated Web Clusters - An Adaptation of the LARD Algortihm Third International Conference on Web Information Systems and Technologies (WEBIST 2007). Barcelona, España, marzo, 2007. Proceedings on Internet Technology, pp. 141–149. ISBN: 978-972-8865-77-1
Autores: Título: Congreso:
David E. Singh, Florin Isaila, Ajelandro Calderón, Félix García, Jesús Carretero Multiple-Phase Collective I/O Technique for improving data access locality 15th Euromicro International Conference on Parallel, Distributed and Network-based Processing, PDP 2007. Napoles, Italia, 7-9 de febrero de 2007
Autores: Título: Congreso: Editorial: ISSN:
V. Méndez, F. García PSO vs. ACO, Data Grid Replication Services Performance Evaluation Lecture Notes in Computer Science. Vol 4331. Frontiers of High Performance Computing and Networking -- ISPA 2006. International Workshop on Middleware Performance (WOMP). Sorrento, Italy, 4 -7 de diciembre de 2006. Pags. 833-843 Springer-Verlag Berlin Heidelberg 0302-9743
Autores: Título: Congreso: Editorial: ISSN:
Mª B. Ibañez, F. García, J. Carretero A Profiling Approach for the Management of Writing in Irregular Applications Lecture Notes in Computer Science. Fourth International Symposium on Parallel and Distributed Processing and Applications (ISPA'2006) Sorrento, Italy ,4-6 de diciembrec. 2006. Pags. 251-259 Springer-Verlag Berlin Heidelberg 0302-9743
Autores: Título: Congreso: Editorial: ISSN: Aceptación:
J. D. García, J. Carretero, F. García, J. Fernández, A. Calderón, D. E. Singh A Quantitative Justification to Partial Replication of Web Contents Lecture Notes in Computer Science. Vol 3983/2006. Computational Science and Its Applications - ICCSA 2006: International Conference, Glasgow, UK, May 8-11, 2006, Proceedings, Part IV. Pags. 1136-1145 Springer-Verlag Berlin Heidelberg 0302-9743 27%
Autores: Título:
L. M. Sanchez, F. Isaila, F. García, J. Carretero, R. Rabenseifner, P. Adamidis A New I/O Archirecture for Improving the Performance in Large Scale Clusters
35
Congreso: Editorial: ISSN: Aceptación:
Lecture Notes in Computer Science. Vol 3984/2006. Computational Science and Its Applications - ICCSA 2006: International Conference, Glasgow, UK, May 8-11, 2006, Proceedings, Part V. Pags. 108-117 Springer-Verlag Berlin Heidelberg 0302-9743 27%
Autores: Título: Congreso:
Mª Soledad Escolar, Jesús Carretero, Florin Isaila, Félix García. Deconstructing the Wireless Sensor Networks Architecture IEEE Symposium on Industrial Embedded Systems, IES' 2006. Antibes, Juan-Les-Pins, Francia. 18-20 de octubre 2006
Autores: Título: Congreso:
Victor Mendez, Felix García. PSO-LRU Algorithm for DataGrid Replication Service HPDGrid 2006 - International Workshop on High-Performance Data Management in Grid Environments. 10-12 julio 2006. Rio de Janeiro, Brasil.
Autores: Título: Congreso: Acepta.:
J. Fernandez, J. Carretero, F. Garcia, A. Calderon and J. D. Garcia Disk Scheduling Proposal for an In-Band Bandwidth Virtualization Schema 2006 International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA'06: June 26-29, 2006, Las Vegas, USA) Pags.669-675 31%
Autores: Título: Congreso: Acepta.:
J. D.García, J. Carretero, F. Garcia, A. Calderon, J. Fernandez, D. E. Singh. On the Reliability of Web Clusters with Partial Replication of Contents. The First International Conference on Availability, Reliability and Security (ARES 2006). April, 20th - April, 22nd 2006, Vienna University of Technology, Austria 36%
Autores: Título: Congreso: Acepta.:
F. Isaila, D. Singh, J. Carretero, F. García, G. Szeder, T. Moschny Integrating Logical and Physical File Models in the MPI-IO Implementation of Clusterfile 6th IEEE International Symposium on Cluster Computing and the Grid. CCGrid2006. Singapur 16-19 mayo de 2006. Pags: 462-469 40%
Autores: Título: Congreso:
F. Isaila, D. Singh, J. Carretero, F. García On evaluating decentralized parallel I/O scheduling strategies for parallel file systems VECPAR 2006 (7th International Meeting on high performance computing for computational science). Rio de Janeiro, Brazil, July 2006
Autores: Título: Congreso: Editorial: ISSN: JCR:
M. B. Ibáñez, J. Carretero, F. Garcia. Symmetrical Data Sieving for Noncontiguous I/O Accesses in Molecular Dynamics Simulations. Lecture notes in Computer Science. Vol 3666/2005. Recent Advances in Parallel Virtual Machine and Message Passing Interface: 12th European PVM/MPI Users’ Group Meeting Sorrento, Italy, September 18-21, 2005. Proceedings. Editors: Beniamino Di Martino, Dieter Kranzlmüller, Jack Dongarra. Pags. 441-448 Springer-Verlag Berlin Heidelberg 0302-9743 Factor de impacto: 0,402
36
Lugar que ocupa en el área de "Computer Science, Theory & Methods”: 62/71 (Q4)
Autores: Título: Congreso:
J. Fernandez, J. Carretero, F. García, A. Calderón, J. M. Perez . New Stream Caching Schemas for Multimedia Systems. 1st International Conference on Automated Production of Cross Media Content for Multi-Channel Distribution”. Axmedis 2005. Florencia, Italia, 30 de nov al 2 de dic de 2005. Pags. 77-84
Autores: Título: Congreso:
J. Carretero, J. Fernandez, A. Calderon, F. García. High Availability Considerations for a Distributed Computer-Based Railway Information System. HADIS 2005, First International Workshop on High Availability of Distributed Systems. Publicado en las actas del 16th International Workshop on Database and Expert Systems Applicacions. 22-26 de agosto. Copenhague. Dinamarca. Págs. 328-332
Autores: Título: Congreso:
D. E. Singh, F. Garcia, F. Carretero. I/O optimization for an air pollution model. Parallel Computing 2005 (Parco2005). 13-16 de septiembre de 2005 Malaga. Spain
Autores: Título: Congreso: Aceptac.:
J.M. Perez, L. M. Sánchez, , F. García, A. Calderón, J. Carretero. High Performance Java Input/Output for Heterogeneous Distributed Computing. 10th IEEE Symposium on Computers and Communications / ISCC 2005. Pp. 969-974. La Manga del Mar Menor, Cartagena, España. Junio 27-30, 2005 36%
Autores: Título: Congreso:
A. Calderón, F. García., J. Carretero, J. M. Pérez, L. M. Sánchez. A Fault Tolerant MPI-IO implementation using the Expand Parallel File System. 13th Euromicro Conference on Parallel, Distributed and Network-based Processing, PDP 2005. Lugano, Suiza. 9-11 February 2005. ISBN: 0-7695-2280-7. Pags. 274-281
Autores: Título: Congreso:
J. M. Pérez, J. Carretero, J. D. García, F. García, A. Calderón. Parallel File System for Networks of Windows Workstations First International Workshop on Operating Systems, Programming Environments and Management Tools for High-Performance Computing on Clusters, in conjunction with 18th ACM International Conference on Supercomputing (ICS '04). June 26-July 1, 2004.
Autores: Título: Congreso:
J. Carretero, J. Fernandez, A. Calderon, F. Garcia-Carballeira. Distributed Event-Drive Web Monitoring and control: A practical case with Remote Train Station Information Systems (RTSIS) Second IEEE International Conference on Industrial Informatics (INDIN'04). Berlin, Alemania. June 2004. (INDIN'04), ISBN: 0-7803-8513-6. Págs. 52-57
Autores: Título: Congreso:
A. Calderón, F. García, J. Carretero, L.M. Sánchez, J. M. Pérez. File schemes for fault tolerant in heterogeneous distributed storage systems New Trends in Distributed Data Access. Proceedings of the 2004 International Multiconferece in computer science and computer engineering (PDPTA'04), ISBN: 1-932415-24-6. Págs. 561-567
37
Autores: Título: Congreso:
M.S. Pérez, A. Sánchez, J.M. Peña, V. Robles, J. Carretero, F. García. Storage Groups: A New Approach for Providing Dynamic reconfiguration in Data-Based Clusters. Proceedings of the IASTED International Conference on Parallel and Distributed Computing and Networks. February 17-19, 2004, Innsbruck, Austria. Pp: 70-75.
Autores: Título: Congreso: Aceptac.:
J. Carretero, J.D. García, J. M. Pérez, F. García-Carballeira. Reducing software maintenance cost using Reliability Centered Maintenance (RCM) and expert knowledge 10th ISPE International Conference on Concurrent Engineering: Research and Applications. Madeira Island - Portugal, 26 - 30 July, 2003. Advanced design, management and production systems, ISBN: 90-5809-524-6. Págs. 379-385 18%
Autores: Título: Congreso: Editorial: ISSN: Aceptación: JCR:
J. M. Pérez, F. García, J. Carretero, J. D. García, S. Escolar Data Driven Infrastructure and Policy Selection to Enhance Scientific Applications in Grid Lecture Notes in Computer Science. Vol 3458/2005. Scientific Applications of Grid Computing: First International Workshop, SAG 2004, Beijing, China, September 20-24, 2004, Revised Selected and Invited Papers. Pags. 59-74 Springer-Verlag Berlin Heidelberg 0302-9743 34% Factor de impacto: 0,402 Lugar que ocupa en el área de "Computer Science, Theory & Methods”: 62/71 (Q4)
Autores: Título: Congreso: Editorial: ISSN: JCR:
M. S. Perez, J. Carretero, F. Garcia, J. M. Peña, V. Robles MAPFS-GRID: A Flexible Architecture for Data-Intensive Grid Applications Lecture Notes in Computer Science series. Vol. 2970/2004. Grid Computing: First European Across Grids Conference, Santiago de Compostela, Spain, February 13-14, 2003. Revised Papers. Pags. 111-118. Publicado en 2004 Springer-Verlag Berlin Heidelberg 0302-9743 0.513 Lugar que ocupa en el área de "Computer Science, Theory & Methods”: 53/70 (Q4)
Autores: Título: Congreso: Editorial: ISSN: JCR:
J. M. Perez, F. Garcia, J. Carretero, A. Calderón, J. Fernández A Parallel I/O Middleware to Integrate Heterogeneous Storage Resources on Grids Lecture Notes in Computer Science series. Vol. 2970/2004. Grid Computing: First European Across Grids Conference, Santiago de Compostela, Spain, February 13-14, 2004. Revised Papers. Pags. 124-131 Springer-Verlag Berlin Heidelberg 0302-9743 0.513 Lugar que ocupa en el área de "Computer Science, Theory & Methods”: 53/70 (Q4)
Autores: Título: Congreso:
J. D. García, J. Carretero, J.M. Pérez, F. García A model for use case priorization using criticality analysis Lecture Notes in Computer Science. Vol 3046/2004. Computational Science and Its Applications - ICCSA 2004: International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part IV. Pags. 496-505
38
Editorial: ISSN: JCR:
Springer-Verlag Berlin Heidelberg 0302-9743 0.513 Lugar que ocupa en el área de "Computer Science, Theory & Methods”: 53/70 (Q4)
Autores: Título: Congreso: Aceptac.:
J. M. Pérez, F. García, J. Carretero, J.D. García Towards a Data Driven Storage Infrastructure for Grids The 2003 International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA'03). Monte Carlo Resort, Las Vegas, Nevada, USA. June 23 - 26, 2003. Pags. 179-184 38%
Autores: Título: Congreso: Editorial: ISSN:
M. S. Pérez, J. Carretero, F. García, J. M. Peña, V. Robles. A Flexible Multiagent Parallel File System for Clusters Lecture Notes in Computer Science. Vol. 2660/2003. Computational Science – ICCS 2003: International Conference Melbourne, Australia and St. Petersburg, Russia June 2-4, 2003 Proceedings, Part IV. Pags. 248-256. Springer-Verlag Berlin Heidelberg 0302-9743
Autores: Título: Congreso: Aceptac.:
J. Fernández, J. Carretero, F. García, J. M. Perez, A. Calderón, J. J. Muñoz. Video Forwarding Techniques for Mixed Wired and Wireless Networks The eighth IEEE Symposium on Computers and Communications (ISCC'2003). Kemer -Antalya, Turkey June 30 - July 3 2003. Pags. 869-874 50%
Autores: Título: Congreso:
J. M. Pérez, F. García, J. Carretero, A. Calderón, L. M. Sánchez. Data Allocation and Load Balancing for Heterogeneous Cluster Storage Systems. Workshop on Parallel I/O in Cluster Computing and Computational Grids. Proceedings of the Tirad IEEE/ACM International Symposium on Cluster Computing and the Grid. 12-15 May 2003, Tokyo, Japan. Pags. 718-723
Autores: Título: Congreso: Aceptac.:
J. M. Pérez, J. Carretero, F. García, J. D. García, A. Calderón, L. M. Sánchez. Expanding Windows Kernel to Integrate Heterogeneous Storage Resources on Data Grids 7th World Multiconference on Systemics, Cybernetics and Informatics (SCI 2003) to be held in Orlando, USA, in July 27-30, 2003. Pags. 373-377 52%
Autores: Título: Congreso: Aceptac.:
J. D. García, J. Carretero, J. M. Pérez, F. García, J. Fernández A distributed Web switch for partially replicated contents 7th World Multiconference on Systemics, Cybernetics and Informatics (SCI 2003) to be held in Orlando, USA, in July 27-30, 2003 52%
Autores: Título: Congreso:
J. M. Pérez, F. García, J. Carretero, A. Calderón, J. Fernandez A Parallel I/O Middleware to Integrate Heterogeneous Storage Resources on Grids 1st European Accross Grids Conference. 13-14 de febrero de 2003. Santiago de Compostela. España
39
Autores: Título: Congreso:
M. S. Pérez, J. Carretero, F. García, J. M. Peña,Víctor Robles. MAPFS: A Flexible Infrastructure for Data-Intensive Grid Applications 1st European Accross Grids Conference. 13-14 de febrero de 2003. Santiago de Compostela. España
Autores: Título: Congreso: Aceptac.:
J. Fernández, J. Carretero, F. Garcia, J. M. Pérez, a. Calderón. Enhancing Multimedia Caching Algorithm Performance Through New Interval Definition Strategies 36th Annual Simulation Symposium 2003. Orlando, Florida. 30 de marzo-2de abril de 2003. Pags. 175-182 39%
Autores: Título: Congreso: Aceptac.:
J. Fernández, J. Carretero, F. García, J.M. Pérez, A. Calderón. A New Cache Management Algorithm for Multimedia Storage Systems 18th ACM Symposium on Applied Computing (SAC 2003). 9-12 marzo, 2003. Florida, EEUU. Pags. 956-960 38%
Autores: Título: Congreso: Aceptac.:
F. Garcia, A. Calderon, J. Carretero, J.M. Perez, J. Fernandez. A Parallel and Fault Tolerant File System Based on NFS Server 11th Euromicro Conference on Parallel Distributed and Network-based Processing. Genoa – Italy. PDP2003. February, 5-7, 2003. Pags. 83-90 39%
Autores: Título: Congreso:
F. García, A. Calderón, J. Carretero, J. Fernández, J. M. Pérez An Expandable Parallel File System Using NFS servers VECPAR 2002, 5th International Meeting on High Performance Computing for Computational Science. 26-28 junio 2002. Oporto, Portugal. Pags. 99-112
Autores: Título: Congreso: Editorial: ISSN:
F. García, A. Calderón, J. Carretero, J. Fernández, J. M. Pérez An Expandable Parallel File System Using NFS servers Lecture Notes in Computer Science. Vol. 2565/2003. High Performance Computing for Computational Science - VECPAR 2002: 5th International Conference, Porto, Portugal, June 26-28, 2002. Selected Papers. Pags. 565-578. Springer-Verlag Berlin Heidelberg 0302-9743
Autores: Título: Congreso: Editorial: ISSN: JCR:
A. Calderón, F. Garcia, J. Carretero, J. M. Perez, J. Fernández An Implementation of MPI-IO on Expand: A Parallel File System Based on NFS Servers Lecture Notes in Computer Science. Vol 2474/2002. Recent Advances in Parallel Virtual Machine and Message Passing Interface: 9th European PVM/MPI Users' Group Meeting, Linz, Austria, September 29 - October 2, 2002. Proceedings. Pags. 306-313. Springer-Verlag Berlin Heidelberg 0302-9743 0,515 Lugar que ocupa en el área de "Computer Science, Theory & Methods”: 39/69 (Q3)
Autores: Título: Congreso:
M. Perez, R. Pons, F. García, J. Carretero, M. L. Cordoba. An optimization of Apriori algorithm through the usage of parallel I/O and hints Lecture Notes in Computer Science. Vol 2475/2002. Rough Sets and Current Trends
40
Editorial: ISSN: JCR:
in Computing : Third International Conference, RSCTC 2002, Malvern, PA, USA, October 14-16, 2002. Proceedings. Pags. 449-452. Springer-Verlag Berlin Heidelberg 0302-9743 0,515 Lugar en el área de "Computer Science, Theory & Methods”: 39/69 (Q3)
Autores: Título: Congreso:
F. García, A. Calderón, J. Carretero, J. Fernández, J.M. Pérez. A New Approach to the Construction of Parallel File Systems for Clusters International Conference on Advances in Infrastructure for Electronic Business, Education Science, Medicine, and Mobile Technologies on the Internet (SSGRR 2002s). L’ Aquila, Italia. 29 de julio 4 de agosto 2002.
Autores: Título: Congreso: Aceptac.:
J. Carretero, J. Fernández, J.M. Pérez, F. García Distributed Instrumentation Systems Using CORBA Proceedings of the 6th World Multiconference on Systemics, Cybernetics and Informatics. Julio 14-18, 2002. Orlando, Florida, EEUU. Pags. 86-91. ISBN: 980-07-8150-1 63%
Autores: Título: Congreso:
J. M. Pérez, J. Carretero, F. García, A. Calderón; J. Fernández Herramienta de optimización de mantenimiento para redes de infraestructuras Conferencia Iberoamericana en Sistemas, Cibernética e Informática CISCI 2002. Del 18 al 21 de Julio, 2002 Orlando, Florida, EEUU. Pags. 39-42
Autores: Título: Congreso: Aceptac.:
F. García, A. Calderón, J. Carretero, J. Fernández, J. M. Pérez. Parallel File System Based on NFS Servers for Heterogeneous Clusters 3rd ACIS International Conference on Software Engineering, Artificial Intelligence Networking and Parallel/Distributed Computing, SNPD’02. 26-28 junio 2002. Madrid. España. Pags. <50%
Autores: Título: Congreso: Aceptac.:
M. S. Pérez, F. García, J. Carretero A proposal for I/O access profiles in parallel datamining algorithms 3rd ACIS International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, SNPD’02. 26-28 junio 2002. Madrid. España. Pags. 329-336 <50%
Autores: Título: Congreso:
J. Carretero, J.M. Pérez, F. García, A. Calderón, J. Fernández Planning Preventive Maintenance in Railway Networks Using RCM Proceedings of the 6th International Scientific Conference on Work with Display Units (WWDU-2002). Berchtesgaden, Alemania, 22-25 de mayo de 2002. Pags.603-605
Autores: Título: Congreso: Aceptac.:
M. S. Pérez, F. García, J. Carretero MAPFS_MAS: A Model of Interaction Among Information Retrieval Agents 2º IEEE/ACM International Symposium on Cluster Computing on the Grid. CCGrid2002. 21-24 mayo 2002. Munich, Alemania. 65%
41
Autores: Título: Congreso: Acepta.:
A. Calderón, F. García, J. Carretero, J. Fernández, O. Pérez, New Techniques for Collective Communications in Clusters: a Case Study with MPI 30th International Conference on Parallel Processing (ICPP-2001). Valencia, Spain, Sep. 2001. Pp. 185-192. 46%
Autores: Título: Congreso: Editorial: ISSN: JCR:
J. Carretero, J. Fernández, F. García Enhancing Parallel Multimedia Servers through New Hierarchical Disk Scheduling Algorithms Lecture Notes in Computer Science, Vol 1981/2001. Vector and Parallel Processing - VECPAR 2000: 4th International Conference, Porto, Portugal, June 2000. Selected Papers. Pags. 89-99. Publicado en 2001. Springer-Verlag Berlin Heidelberg 0302-9743 0,415 Lugar que ocupa en el área de "Computer Science, Theory & Methods”: 46/71 (Q3)
Autores: Título: Congreso:
M. S. Pérez, F. García, J. Carretero, A New MultiAgent Based Architecture for High Performance I/O in clusters Proceedings of the Second International Workshop on Metacomputing Systems and Applications (MSA'2001). Valencia, Spain, Sep. 2001. Pp. 201-206.
Autores: Título: Congreso: Aceptac.:
A. Calderón, F. García, J. M. Pérez High Performance Multimedia Data Delivery Techniques Using Lossless Compression 5th World Multi-Conference on Systemics, Cybernetics and Informatics Proceedings, Vol. XII, Nagib Callaos, Antonio L. Teixeira, Kim Wong and Jesus Carretero (Eds.).. Orlando, USA, Jul, 2001. Pp. 339-344. 63%
Autores: Título: Congreso: Aceptac.:
F. García, A. Calderón, J. Carretero Evaluating MiMPI, a Multithread-Safe Implementation of MPI, on a Cluster of Workstations International Conference of Software Engineering Applied to Networking and Parallel/Distributed Computing, Reims, 18-21 Mayo 2000. Pp. 314-319. 54%
Autores: Título: Congreso:
J. Carretero, J. Fernández, F. García Enhancing Parallel Multimedia Servers through New Hierarchical Disk Scheduling Algorithms VECPAR'2000, 4th International Meeting on Vector and Parallel Processing, Oporto, junio 2000. Pags.159-168
Autores: Título: Congreso:
F. García J. Carretero, A. Calderón. A Thread-Safe Implementation of MPI Third World Multiconference on Systemics, Cybernetics and Informatics (SCI’99) and the Fifth International Conference on Information Systems Analysis and Synthesis (ISAS’99). Orlando, USA. July 31-August 4, 1999. Pp. 283-287.
Autores: Título:
F. García, A. Calderón, J. Carretero MiMPI: A Multithread-Safe Implementation of MPI
42
Congreso: Editorial: ISSN: JCR:
Lecture Notes in Computer Science. Vol. 1697/1999. Recent Advances in Parallel Virtual Machine and Message Passing Interface: 6th European PVM/MPI Users' Group Meeting, Barcelona, Spain, September 1999. Proceedings. Pags. 207-214. Springer-Verlag Berlin Heidelberg 0302-9743 0,872 Lugar que ocupa en el área de "Computer Science, Theory & Methods”: 13/61 (Q1)
Autores: Título: Congreso: Editorial: ISSN: JCR:
F. García, J. Carretero, F. Pérez, P. de Miguel High Performance Cache Management for Parallel File Systems Lecture Notes in Computer Science, Vol. 1573. 1999. Springer, Berlin.. Revisión posterior al congreso VECPAR’98 - Third International Conference for Vector an Parallel Processing. José M. L. M. Palma, Jack Dongarra and Vicente Hernandez (Eds). Pags. 466-479. Springer-Verlag Berlin Heidelberg 0302-9743 0,872 Lugar que ocupa en el área de "Computer Science, Theory & Methods”: 13/61 (Q1)
Autores: Título: Congreso:
F. García, J. Carretero, F. Pérez and P. de Miguel. High Performance Cache Management for Parallel File Systems VECPAR'98, 3rd International Meeting on Vector and Parallel Processing”, Oporto, junio 1998. Pp. 239—252
Autores: Título: Congreso: Aceptc.:
F. García, J. Carretero, F. Pérez, P. de Miguel, and L. Alonso. Cache Coherence in Parallel and Distributed File Systems 5th EUROMICRO Workshop on Parallel and Distributed Processing. Euromicro-IEEE. London, January 1997. Pp. 60-65. <68%
Autores: Título: Congreso: Aceptc.:
F. García, J. Carretero, F. Pérez, P. de Miguel, L. Alonso Eliminating False Sharing in Cache Coherence Protocols for Parallel and Distributed File Systems: The ParFiSys Approach Proceddings of the IASTED International Conference on Parallel and Distributed Systems, Euro-PDS'97, Barcelona, junio 1997. Pp. 31—37 41%
Autores: Título: Congreso: Editorial: ISSN:
J. Carretero, F. Pérez, P. de Miguel, F. García, L. Alonso I/O Data Mapping in ParFiSys: Support for High Performance I/O in Parallel and Distributed Systems Lecture Notes in Computer Science. Vol 1123/1996. Euro-Par'96 Parallel Processing: Second International Euro-Par Conference Lyon, France, August 26–29 1996 Proceedings, Volume I Springer-Verlag Berlin Heidelberg 0302-9743
Autores: Título: Congreso:
J. Carretero, F. Pérez, P. de Miguel, F. García, L. Alonso A Multiprocessor Parallel Disk System Evaluation International Conference on Decentralized and Distributed Systems, ICDDS’93 . Palma de Mallorca, 1993. Pp: 301-312
43
Artículos publicados en actas de congresos nacionales
Autores: Título: Congreso:
Saul Alonso-Monsalve, Félix García-Carballeira, Alejandro Calderón, Un nuevo enfoque de computación voluntaria para aplicaciones intensivas en datos, XXVIII Jornadas de Paralelismo (JP2017), Malaga, Spain, September, 2017,
Autores: Título: Congreso:
Javier Prieto, Félix García-Carballeira, Alejandro Calderón Mateos, Saul Alongo Monsalve WepSIM: simulador integrado de microprogramación y programación en Ensamblador XXVII Jornadas de Paralelismo, Salamanca, Spain, September, 2016,
Autores: Título: Congreso:
Saul Alongo Monsalve, Félix García-Carballeira, Alejandro Calderón Mateos Estudio del rendimiento de plataformas de computación voluntaria para aplicaciones intensivas en datos XXVII Jornadas de Paralelismo, Salamanca, Spain, September, 2016,
Autores: Título: Congreso:
Silvina Caíno Lores, Alberto García Fernández, Félix García-Carballeira, Jesús Carretero Pérez Breaking data dependences in numerical simulations using Map-Reduce XXV Jornadas de Paralelismo, Valladolid, Spain, September, 2014
Autores: Título: Congreso:
Borja Bergua Guerra, Félix García Carballeira, Alejandro Calderón, Luis Miguel Sánchez y Jesús Carretero Mejora del entorno de computación voluntaria BOINC usando el sistema de ficheros paralelo Expand XXI Jornadas de Paralelismo, III congreso español de Informática. Valencia Septiembre de 2010
Autores: Título: Congreso:
Laura Prada, Jose Daniel Garcia, Jesus Carretero, and Felix Garcia Ahorro energético en un sistema de almacenamiento híbrido compuesto por un disco duro y varias memorias flash XX Jornadas de Paralelismo, La Coruña, España, Septiembre de 2009,
Autores: Título: Congreso:
V. Méndez, F. García SiCoGrid: A complete simulator for Grid Infrastructures XVIII Jornadas de Paralelismo. II Congreso Español de Informática. Zaragoza, 11 al 14 de septiembre de 2007
Autores: Título: Congreso:
B. Bergua, F. García, L. M. Sánchez, A. Calderón y J. Carretero Adaptación del sistema de ficheros paralelo Expand a entornos Grid XVIII Jornadas de Paralelismo. II Congreso Español de Informática. Zaragoza, 11 al 14 de septiembre de 2007
Autores: Título: Congreso:
F.J. García, F. Isaila, A. Calderón, F. García, J. Carretero Análisis del estudio de trazas en los sistemas de ficheros paralelos XVII Jornadas de Paralelismo. Albacete. 18-20 de septiembre de 2006. Pags. 503-508
44
Autores: Título: Congreso:
S. Escolar, J. Carretero, F. García, F. Isaila, J. Fernández Acabando con los desarrollos ad-hoc en wireless sensor networks XVII Jornadas de Paralelismo. Albacete. 18-20 de septiembre de 2006. Pags. 561-566
Autores: Título: Congreso:
J. D. García, J. Carretero, F. García, D. E. Singh, J. Fernandez A highly available cluster of web servers with increased storage capacity XVII Jornadas de Paralelismo. Albacete. 18-20 de septiembre de 2006. Págs. 109-114
Autores: Título: Congreso:
A. Núñez, J. Carretero, F. García, L.M. Sánchez Sistema de ficheros paralelo basado en servicios web XVII Jornadas de Paralelismo. Albacete. 18-20 de septiembre de 2006. Pags. 191-186
Autores: Título: Congreso:
D. E. Singh, Mª B. Ibáñez, F. Isaila, F. García-Carballeira, J. Carretero. Optimizaciones de entrada/salida para aplicaciones de dinámica molecular XVI Jornadas de Paralelismo. I Congreso Español de Informática. Granada. 13-16 de septiembre de 2005. Pags. 685-692
Autores: Título: Congreso:
J. D. García, J. Carretero, F. García, J. M. Pérez, M. S. Escolar. Asignación de réplicas en un Cluster Web basado en replicación parcial de contenidos XVI Jornadas de Paralelismo. I Congreso Español de Informática. Granada. 13-16 de septiembre de 2005. Pags. 387-393
Autores: Título: Congreso:
J. M. Pérez Menor, F. García Carballeira, J. Carretero, J. D. García , A. Calderón Incremento de prestaciones en el acceso a datos en Data Grids XVI Jornadas de Paralelismo. I Congreso Español de Informática. Granada. 13-16 de septiembre de 2005. Pags. 347-354
Autores: Título: Congreso:
L. M. Sánchez, J. M. Pérez, F. García, A. Calderón, J. Carretero. Arquitectura escalable para E/S de altas prestaciones en sistemas heterogéneos XV Jornadas de Paralelismo. Almería. 15-17 de septiembre de 2004.
Autores: Título: Congreso:
J. Carretero, F. García, J. M Pérez, A. Calderón, J. Fernández, D. García, L. M. Sánchez Impacto de la configuración del entorno en un sistema de ficheros paralelo XIV Jornadas de Paralelismo, Leganés, Madrid. 15-17 de septiembre de 2003. Pags. 205-209
Autores: Título: Congreso:
A. Calderón, F. García, J. Carretero, J. M. Pérez y J. Fernández. Soporte de tolerancia a fallos en Expand XIV Jornadas de Paralelismo, Leganés, Madrid. 15-17 de septiembre de 2003. Pags. 223-229
Autores: Título: Congreso:
J. Fernández, J. Carretero, F. García, J. M. Pérez y A. Calderón. Algoritmos de caché para sistemas multimedia integrados XIV Jornadas de Paralelismo, Leganés, Madrid. 15-17 de septiembre de 2003 Pags. 405-412
Autores: Título: Congreso:
V. Méndez, J. Carretero, F. García. Añadiendo paralelismo en VFS apilable XIV Jornadas de Paralelismo, Leganés, Madrid. 15-17 de septiembre de 2003. Pags. 413-
45
417
Autores: Título: Congreso:
J. M. Pérez, J. Carretero, F. García, A. Calderón, J. Fernández. WinPFS: Windows Parallel File System XIV Jornadas de Paralelismo, Leganés, Madrid. 15-17 de septiembre de 2003. Pags. 513-518
Autores: Título: Congreso:
A. Caderón, F. García, J. Carretero, J.M. Pérez, J. Fernández. Un sistema de ficheros paralelo y tolerante a fallos basado en servidores NFS. XIII Jornadas de Paralelismo. Lleida. 9-11 de septiembre de 2002. Pags. 305-310.
Autores: Título: Congreso:
M. S. Pérez, F. García, J. Carretero, J. M. Pérez, Diseño de un sistema de ficheros paralelo multiagente para clusters XII Jornadas de Paralelismo, Valencia. 3-4 de septiembre de 2001. Pags. 45-50.
Autores: Título: Congreso:
F. García, A. Calderón, J. Fernández, J. Carretero Evaluación y comparación de las capacidades de Linux y Windows 2000 para su utilización en clusters de estaciones de trabajo XI Jornadas de Paralelismo, Granada. 11-13 de septiembre de 2000. Pp: 181-186.
Autores: Título: Congreso:
J. Fernández, F. García, J. Carretero. Diseño e Implementación de múltiples planificadores de disco para el sistema operativo Linux II Congreso Hispalinux. Universidad Carlos III de Madrid, 5-6 de noviembre de 1999.
Autores: Título: Congreso:
Calderón, F. García, J. Carretero. Una implementación multithread de MPI para Linux II Congreso Hispalinux. Universidad Carlos III de Madrid, 5-6 de noviembre de 1999.
Autores: Título: Congreso:
F. García, A. Calderón XMPI: Una implementación multithread de MPI IX Jornadas de Paralelismo, San Sebastián, 2-4 de septiembre de 1998. Pags. 207-212
Autores: Título: Congreso:
F. García, J. Carretero, F. Pérez, P. de Miguel, L. Alonso. Coherencia de Caché en Sistemas de Ficheros Paralelos VII Jornadas de Paralelismo. Santiago de Compostela. 11-13 de septiembre de 1996. Pags. 215-228.
Autores: Título: Congreso:
J. Carretero, F. Pérez, P. de Miguel, F. García, L. Alonso. Evaluación de un Sistema de Ficheros Paralelo para Máquinas Masivamente Paralelas I Jornada de Informática. Universidad de la Laguna, Tenerife. 17-21 de julio de 1995. ISBN MA-777/95. Pp. 555-567.
Autores: Título: Congreso:
J. Carretero, F. Pérez, P. de Miguel, F. García, L. Alonso. Evaluación de un Sistema de Ficheros Paralelo para Máquinas Masivamente Paralelas I Jornada de Informática. Universidad de la Laguna, Tenerife. 17-21 de julio de 1995. ISBN MA-777/95. Pp. 555-567.
46
TESIS DOCTORALES DIRIGIDAS
Título: Doctorado: Universidad: Fecha de lectura: Calificación: Director:
High Performance Computing Techniques Applied to the Desgin of Complex Railway Infrastructures Carlos Gómez Carrasco Universidad Carlos III de Madrid 2 de noviembre de 2016 Sobresaliente Cum Laude por unanimidad. Premio extraordinario de doctorado Félix García Carballeira y Jesús Carretero Pérez
Título: Doctorado: Universidad: Fecha de lectura: Calificación: Director:
A Cloudification Methodology for High Performance Simulations Alberto García Fernández Universidad Carlos III de Madrid 15 de abril de 2016 Sobresaliente Cum Laude por unanimidad. Premio extraordinario de doctorado Jesús Carretero Pérez y Félix García Carballeira
Título: Doctorado: Universidad: Fecha de lectura: Calificación: Director:
New Approaches to Data Access in Large-Scale Distributed Systems Borja Bergua Guerra Universidad Carlos III de Madrid 21 de diciembre de 2015 Sobresaliente Cum Laude por unanimidad Félix García Carballeira y Alejandro Calderón Mateos
Título: Doctorado: Universidad: Fecha de lectura: Calificación: Director:
Sistema de Ficheros Paralelo Escalable para Entornos Cluster Luis Miguel Sánchez García Universidad Carlos III de Madrid 26 de noviembre de 2009 Sobresaliente Cum Laude por unanimidad. Félix García Carballeira y Jesús Carretero Pérez
Título: Doctorado: Universidad: Fecha de lectura: Calificación: Director:
Técnicas de Inteligencia Artificial Emergente aplicadas al servicio de replicación de datos de arquitecturas grid Víctor Méndez Muñoz Universidad Carlos III de Madrid Noviembre de 2007 Sobresaliente Cum Laude por unanimidad. Félix García Carballeira
Título: Doctorado: Universidad: Fecha de lectura: Calificación: Director:
Mecanismos de incremento de prestaciones en el acceso a datos en Data Grids José María Pérez Menor Universidad Carlos III de Madrid 6 de abril de 2006 Sobresaliente Cum Laude por unanimidad. Jesús Carretero Pérez y Félix García Carballeira
47
Título: Doctorado: Universidad: Fecha de lectura: Calificación: Director:
Propuestas Arquitectónicas para servidores Web distribuidos con réplicas parciales José Daniel García Sánchez Universidad Carlos III de Madrid 22 de septiembre de 2005 Sobresaliente Cum Laude por unanimidad. Jesús Carretero Pérez y Félix García Carballeira
Título: Doctorado: Universidad: Fecha de lectura: Calificación: Director:
Técnicas de tolerancia a fallos para sistemas de ficheros paralelos en clusters Alejandro Calderón Mateos Universidad Carlos III de Madrid 27 de septiembre de 2005 Sobresaliente Cum Laude. Félix García Carballeira
Título: Doctorado: Universidad: Fecha de lectura: Calificación: Directores:
Arquitectura multiagente para E/S de alto rendimiento en clusters María de los Santos Pérez Hernández Universidad Politécnica de Madrid 10 de julio de 2003 Sobresaliente Cum Laude. Premio Extraordinario de Tesis Doctoral Jesús Carretero Pérez y Félix García Carballeira
48
GRANDES EQUIPOS QUE UTILIZA O HA UTILIZADO
CLAVE : R= responsable, UA = usuario asiduo, UO = usuario ocasional EQUIPO FECHA: CLAVE: Sistema Magerit: Cluster eServer BladeCenter JS20 (180 nodos) Centro de Supercomputación y Visualización de Madrid, Centro Nacional de Supercomputación
Desde abril de 2006 hasta 2010
UA
Origin 2000 (64 nodos). Centro Europeo de Paralelismo de Barcelona (CEPBA)
2000-2004
UA
IBM SP2 (44 nodos). Centro de Supercomputación de Cataluña (CESCA)
1997-2004
UA
SUN 2000 multiprocessor (10 Ultra SPARC). Universidad Politécnica de Madrid
1995-2000
UA
GPmimd multiprocessor (48 T9000). Telmat. Francia
1995-1996
UA
Tnode multiprocessor (20 T80X). Universidad Politécnica de Madrid
1992-1996
UA
49
OTROS MÉRITOS O ACLARACIONES QUE SE DESEE HACER CONSTAR • Habilitado para el cuerpo de Catedráticos de Universidad en el área de Arquitectura y
Tecnología de Computadores. Marzo de 2007
• Miembro de la Junta directiva de SARTECO – Sociedad de Arquitectura y Tecnología de Computadores, desde septiembre de 2012 a septiembre de 2016.
Tramos docentes y de investigación • Cuatro tramos docentes: 1997-2001, 2002-2006, 2007-2011, 2012-2016 • Tres tramos de investigación: 1996-2001, 2002-2007, 2008-2013 Actividades de gestión de I+D
Cargo: Colaborador del área de gestión de Tecnologías Informáticas. Dirección General de Investigación y Gestión del Plan Nacional de I+D+i del Ministerio de Economía y Competitividad
Fecha: Desde febrero de 2012 hasta diciembre de 2012
Cargo: Colaborador del área de gestión de Tecnologías Informáticas. Subdirección General de Proyectos de Investigación. Ministerio de Ciencia e Innovación
Fecha: Desde febrero de 2010 hasta diciembre de 2011.
Evaluación de solicitudes de proyectos de investigación
Organismo: Ministerio de Ciencia e Innovación Miembro de la Comisión de Selección de proyectos del Plan Nacional de Tecnologías Informáticas, Área de Interfaces Avanzadas, Sistemas Distribuidos y Computación de Altas Prestaciones, convocatoria 2009.
Fecha: 2009
Organismo: Ministerio de Educación y Ciencia Miembro de la Comisión de Selección de proyectos del Plan Nacional de Tecnologías Informáticas, Área de Interfaces Avanzadas, Sistemas Distribuidos y Computación de Altas Prestaciones, convocatoria 2007.
Fecha: 2007
Organismo: ANEP Fecha: 2006 - 2009 Organismo: Dirección General de Investigación. Consejería de Educación. Comunidad de
Madrid Fecha: 2000 Organismo: Ministerio de Ciencia y Tecnología Fecha: 2000, 2003
50
Participación en grupos y redes temáticas (acciones especiales) • Participación en la iniciativa IRISGrid (http://irisgrid.rediris.es). Esta iniciativa nacional de Grid fue
parcialmente financiada por medio de la acción especial “Preparación de Proyectos Grid en el marco de las iniciativas de e-ciencia en Europa” TIC2002-11109-E que finalizó en marzo de 2004. En esta acción especial participaron más de 30 grupos de investigación del CSIC y de Universidades,
• Participación en la Red temática para la coordinación de actividades middleware en Grid (http://asds.dacya.ucm.es/GridMiddleware/index.php), financiadas por las acciones especiales TIC2002-12422-E (2004-2006) y TIN2005-25849-E (2006-2008). Esta red está formada por 177 investigadores de 36 centros y su objetivo principal es facilitar el intercambio y la transferencia de conocimientos entre los grupos de investigación en tecnología Grid.
• Participación en la iniciativa GRIDIMAdrid (http://www.Gridimadrid.org), una iniciativa de Grid de investigación en la Comunidad de Madrid, cuyos objetivos son el establecimiento de una infraestructura Grid de investigación en el ámbito de la Comunidad de Madrid, que a su vez proporcione tránsito hacia otras infraestructuras Grid nacionales e internacionales, y el fomento de la colaboración entre las instituciones, los proyectos de investigación y las redes temáticas relacionadas con la investigación en tecnología Grid y migración de aplicaciones.
• Participación en el grupo de trabajo sobre Tecnologías Grid de la plataforma INES (Iniciativa Española de Software y Servicios). INES es una plataforma abierta a cualquier entidad jurídica española con interés y experiencia en tecnologías de software y servicios: Empresas TIC (Grandes Empresas, PYMES o Asociaciones de empresas), Organismos Públicos de Investigación y Centros Tecnológicos TIC (Universidades, Centros de investigación y Instituciones públicas) y Usuarios industriales. El objetivo del grupo de trabajo en Tecnologías Grid es desarrollar una visión integrada sobre las oportunidades y los beneficios que la tecnología Grid supone para la industria española, aportando los esfuerzos necesarios para su consecución a partir de las actividades e iniciativas Grid ya existentes.
Becas, ayudas y premios recibidos (con posterioridad a la licenciatura)
Premio: Premio Extraordinario de Doctorado del curso 1995-1996 Centro/Organismo: Fecha:
Universidad Politécnica de Madrid 28 de enero de 1998 (curso 1995-1996)
Beca: Beca de formación de profesorado universitario del Ministerio de Educación y
Ciencia en el Departamento de Arquitectura y Tecnología de Sistemas Informáticos de la UPM
Centro/Organismo: Fecha:
Facultad de Informática de Madrid. UPM Desde el 1 de enero de 1994 hasta el 30 de octubre de 1996
Beca: Bolsa de viaje y ayuda para la estancia en la Universidad de Sheffield dentro de
la beca FPU del Ministerio de Educación y Ciencia Centro/Organismo: Fecha:
Ministerio de Educación y Ciencia 1995
51
Conferencias impartidas
Título: Lugar: Fecha:
Tecnologías de almacenamiento para entornos Grid Conferencia de Master. Facultad de Informática, Universidad Complutense de Madrid. 16 de noviembre de 2007
Título: Lugar: Fecha:
Data management technologies in Globus 2º Grid Workshop. European Space Agency (ESA). Villafranca del Castillo. España 5-6 de octubre de 2005
Título: Lugar: Fecha:
Data management technologies for grids using Globus 1º Grid Workshop. European Space Agency (ESA). Villafranca del Castillo. España 20-21 de octubre de 2005
Título: Lugar: Fecha:
Technologies for Data Grids Tutorial presentado en el 10º IEEE Symposium on Computers and Communications, ISCC-2005. La Manga del Mar Menor. España 27 de junio de 2005
Título: Lugar: Fecha:
Buenas prácticas docentes Ponente en las jornadas de orientación y mejora docente de la Universidad Carlos III de Madrid 25 de febrero de 2005
Título: Lugar: Fecha:
¿Qué es Internet? Conferencia impartida dentro de la Semana de la Ciencia de Madrid Noviembre de 2002
52
Organización de congresos
Congreso: Cargo:
The 10th IEEE International Symposium on Parallel and Distributed Processing with Applications (ISPA-12). 10-13 de Julio de 2012, Leganés, Madrid. General Vice-Chair.
Fecha: 10-13 de Julio de 2012
Congreso: International Workshop on Scalable Data Management Applications and Systems (SDMAS) dentro de la 2007 International Conference on Parallel and Distributed Processing Techniques and Applications. Las Vegas Nevada, USA (June, 25-28 2007)
Fecha: 25-28 de junio de 2007
Congreso: International Workshop on High Performance I/O Techniques and Deployment of Very Large Scale I/O Systems (HiperIO’06) In conjunction with CLUSTER 2006 The 2006 IEEE International Conference on Cluster Computing Barcelona
Fecha: 25-27 de septiembre de 2006
Congreso: XIV Jornadas de Paralelismo. Universidad Carlos III de Madrid Organización financiada en parte mediante la acción especial TIC2002-10571-E. Financiación obtenida: 6000 euros. Investigador principal: Jesús Carretero Pérez
Fecha: 15-17de septiembre de 2003
Congreso: Special Session on “Cluster Computing”. SCI-2002 Conference, Orlando, Florida, USA Fecha: Julio de 2002
53
Comités de programas de congresos internacionales Congreso: Cargo:
International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD) Program Committee. Distributed Systems track
Fecha: 17-20 Octubre 2017, Campinas, Brasil
Congreso: Cargo:
7th Workshop on Interfaces and Architectures for Scientific Data Storage (IASDS) Program Committe
Fecha: 1-4 de septiembre de 2015, Beijing, China
Congreso: Cargo:
The 17th IEEE International Conference on Computational Science and Engineering (CSE 2014) Program Committe
Fecha: 19-21 de diciembre de 2014, Chengdu, China
Congreso: Cargo:
The 2012 International Conference of High Performance Computing & Simulation (HPCS 2012). 19Th Annual Meeting. 2-6 de Julio de 2012, Madrid. Tutorial Chair
Fecha: 2-6 de julio de 2012
Congreso: Second International Conference on Grid computing, high-performance and Distributed Applications" (GADA'07).
Fecha: 2007
Congreso: International Workshop on Grid Computing Security and Resource Management (GSRM'06) in conjunction with THE 2006 International Conference on Computational Science (ICCS 2006) University of Reading, UK.
Fecha: 28-31 de mayo de 2006
Congreso: International Symposium on Grid computing, high performance and Distributed Applications (GADA'06). Montpellier, France
Fecha: 2-3 de noviembre de 2006
Congreso: Second International Workshop on Grid Computing and its Application to Data Analysis (GADA'05). Agia Napa, Chipre
Fecha: 31 de octubre al 4 de noviembre de 2005
Congreso: 2nd International Workshop on Grid Computing and Peer-to-Peer Systems. Singapore Fecha: 9-12 de mayo de 2005
Congreso: Conferencia Iberoamericana en Sistemas, Cibernética e Informática. Orlando, Florida,
USA. Desde la 1ª en 2002 edición hasta la 6ª en 2007 Fecha: Desde 2002 hasta la actualidad
Congreso: First International Workshop on Scientific Applications on Grid Computing (SAG'04).
Beijing, China Fecha: 20-24 de septiembre de 2004
54
Congreso: First International Workshop on Grid Computing and its Application to Data Analysis (GADA'04) Larnaca, Cyprus,
Fecha: 25-29 de octubre de 2004
Congreso: Sesión especial “Multimedia on clusters on workstations: Mastering the future” y miembro del Comité Organizador de la “SCI-2001 Conference”, Orlando, Florida, USA
Fecha: julio de 2001
55
Gestión universitaria
Cargo: Director del Departamento de Informática de la Universidad Carlos III de Madrid Fecha: Desde el 17/11/2015 al 13/12/2017 Cargo:
Miembro del Consejo de Gobierno de la Universidad Carlos III de Madrid
Fecha: Desde noviembre de 2015 Cargo: Director del programa de Doctorado en Ciencia y Tecnología Informática de la
Universidad Carlos III de Madrid Fecha: Desde noviembre de 2009 hasta febrero de 2014
Cargo: Director del Máster en Ciencia y Tecnología Informática de la Universidad Carlos III
de Madrid Fecha: Desde noviembre de 2009 hasta febrero de 2014
Cargo: Subdirector del Departamento de Informática de la Universidad Carlos III de Madrid Fecha: Desde junio de 2004 hasta 2008.
Cargo: Subdirector del Master en Administración y Gestión de Sistemas Informáticos de la
Universidad Carlos III de Madrid Fecha: Desde marzo de 2005 hasta septiembre de 2012
Cargo: Subdirector de la Ingeniería Técnica en Informática de Gestión en la Universidad Carlos
III de Madrid y miembro del Consejo de Dirección de la Escuela Politécnica Superior de la Universidad Carlos III de Madrid
Fecha: Desde abril de 2003 a junio de 2004
Cargo: Secretario del Departamento de Arquitectura y Tecnología de Sistemas Informáticos de la Facultad de Informática de la Universidad Politécnica de Madrid
Fecha: Desde mayo de 1999 hasta enero de 2000 Actividad docente • Dirección de 60 proyectos fin de carrera, 21 trabajos dirigidos, tutor de 11 prácticas académicas en
empresas y tutor de 9 trabajos fin de máster.
CURRÍCULUM ABREVIADO (CVA) – Extensión máxima: 4 PÁGINASLea detenidamente las instrucciones disponibles en la web de la convocatoria
Parte A. DATOS PERSONALESNombre y apellidos Diego Rafael Llanos FerrarisDNI Edad
Núm. identificación del investigadorResearcher ID L-8118-2014Código Orcid 0000-0001-6240-9109
A.1. Situación profesional actual Organismo Universidad de ValladolidDpto./Centro Departamento de Informática Dirección Paseo Belén, 15Teléfono 983185642 correo electrónico [email protected]ía profesional Profesor Titular Universidad Fecha inicio 29/11/2002Espec. cód. UNESCO 1203 (Ciencia de los ordenadores), 3304 (Tecn. de los ordenadores)Palabras clave Arquitectura y tecnología computadores, tecnología informática
A.2. Formación académica (título, institución, fecha)Licenciatura/Grado/Doctorado Universidad AñoDoctor en Informática Universidad de Valladolid 2000Ingeniero en Informática Universidad de Valladolid 1996Diplomado en Informática Universidad de Valladolid 1994
A.3. Indicadores generales de calidad de la producción científica Acreditado como CAUN por la ANECA (octubre 2014) Tres sexenios de investigación reconocidos (1998-2003, 2004-2009, 2010-2015). Cuatro quinquenios de experiencia docente reconocidos (1997-2001, 2002-2006,
2007-2011, 2012-2016). Cuatro tesis doctorales dirigidas
◦ Dr. Álvaro Estébanez, enero 2016, Sobresaliente Cum Laude. ◦ Dr. Héctor Ortega (codirigida con Arturo González-Escribano), octubre 2015,
Sobresaliente Cum Laude (Mención Doctorado Internacional)◦ Dr. Sergio Aldea, julio 2014, Sobresaliente Cum Laude. (Mención Doctorado
Internacional)◦ Dr. Yuri Torres (codirigida con Arturo González-Escribano), mayo 2014,
Sobresaliente Cum Laude. (Mención Doctorado Internacional) Citas (de acuerdo con Google Scholar, 18 julio 2018):
◦ Citas totales: 849.◦ Citas entre 2013 y 2018: 543 (media: 84.5)◦ h-index total: 13. ◦ h-index desde 2013: 12.
Publicaciones en revistas indexadas en JCR: 26. Revistas JCR cuartil Q1: nueve. Revistas JCR cuartil Q2: nueve. Revistas JCR cuartil Q3: siete. Revistas JCR cuartil Q4: una.
Una patente española conjuntamente con la empresa GMV. Co-fundador y Director de Operaciones de RDNest SL, empresa de base tecnológica
participada por la Universidad de Valladolid y fundada en marzo de 2017.
Parte B. RESUMEN LIBRE DEL CURRÍCULUM
Recibí el título de Doctor en Informática por la Universidad de Valladolid en el año 2000, conun trabajo sobre las arquitecturas de memoria sólo caché (COMA). Desde el inicio de milabor investigadora me he centrado en temas relacionados con el paralelismo, en particularcon la posibilidad de explotar automáticamente el paralelismo inherente a muchasaplicaciones secuenciales. Mis principales logros de investigación se centran en laparalelización especulativa, una técnica que permite ejecutar en paralelo bucles sin realizar
1 de 4 / Currículum abreviado
Fecha del CVA 20/11/2018
CURRÍCULUM ABREVIADO (CVA) – Extensión máxima: 4 PÁGINASLea detenidamente las instrucciones disponibles en la web de la convocatoria
un análisis previo de dependencias. Esta líne de trabajo, iniciada en 2002 tras una visita deinvestigación al Edinburgh Parallel Computing Center (EPCC), ha dado lugar en la últimadécada a avances notables en el campo, incluyendo un sistema completo de ejecuciónespeculativa, denominado ATLAS, que consta de un plugin específico para que elcompilador GCC pueda generar código especulativo, y de una librería runtime que permitesue ejecución paralela sin necesidad de utilizar procesadores con soporte hardwareespecífico.Mis intereses actuales se centran en cómo explotar automáticamente los sistemas paralelosactuales, mucho más complejos que los que existían hace quince años debido a suheterogeneidad. Esto incluye sistemas basados en aceleradores, como GPUs o Intel XeonPhi, y procesadores con capacidades heterogéneas. Explotar estos sistemas de maneraeficiente requiere una programación cada vez más sofisticada. Mi misión es permitir quemás programadores puedan explotar estas arquitecturas, sin necesidad de conocer aldetalle las características hardware subyacentes. Otras áreas de interés incluyen la utilización de sistemas de cálculo intensivo en problemasreales, como el descrito en esta solicitud, y su proyección hacia modelos económicoscompetitivos que mejoren la empleabilidad de nuestros estudiantes, a través de la spin-offRDNest, EBT promovida por mí, constituida en marzo 2017 y participada por la UVa.En relación con mi servicio a la comunidad científica, cabe destacar la organización de lasJornadas SARTECO 2014, Program Chair SARTECO 2016 y SARTECO 2018, CPC 2016,HLPP 2017, Publicity & Web Chair en el congreso PPoPP 2016 y como Publicity Chair enHiPEAC 2018 y HiPEAC 2019. Asimismo, he coordinado la Red de Excelencia CAPAP-H3,CAPAP-H4 y CAPAP-H6. Todas las publicaciones derivadas de mis trabajos puedenaccederse en http://www.infor.uva.es/~diego.
Parte C. MÉRITOS MÁS RELEVANTES (ordenados por tipología)
C.1. Diez publicaciones seleccionadas1. A Survey on Thread-Level Speculation Techniques. Alvaro Estebanez, Diego R.
Llanos, Arturo González Escribano. Accepted for publication in ACM ComputingSurveys, ISSN 0360-0300, ACM Press. Artículo JCR Q1.
2. An OpenMP Extension that Supports Thread-Level Speculation. Sergio Aldea, AlvaroEstebanez, Diego R. Llanos, Arturo González Escribano. IEEE Transactions onParallel and Distributed Systems. ISSN 1045-9219, 27(1), pp. 78-91, January2016, IEEE Press. Artículo JCR Q1.
3. Blending Extensibility and Performance in Dense and Sparse Parallel DataManagement. Javier Fresno, Arturo González Escribano, Diego R. Llanos. IEEETransactions on Parallel and Distributed Systems, Vol. 25, no. 10, October 2014,pages 2509-2519. ISSN 1045-9219, IEEE Press. Artículo JCR Q1.
4. An extensible system for multilevel automatic data partition and mapping. ArturoGonzález Escribano, Javier Fresno, Yuri Torres, Diego R. Llanos. IEEETransactions on Parallel and Distributed Systems, Vol. 25, no. 5, May 2014,pages 1145-1154. ISSN 1045-9219, IEEE Press. Artículo JCR Q1.
5. Squashing Alternatives for Software-based Speculative Parallelization. Alvaro García-Yáguez, Diego R. Llanos, Arturo González-Escribano. IEEE Transactions onComputers, Vol. 63, no. 7, July 2014, pags. 1826-1839. ISSN 0018-9340, IEEEPress. Artículo JCR Q1.
6. Extending a hierarchical tiling arrays library to support sparse data partitioning. JavierFresno, Arturo González Escribano, Diego R. Llanos. The Journal ofSupercomputing, Vol. 64, no. 1, April 2013, pags. 59-68, ISSN 0920-8542. ArtículoJCR Q2.
7. New Scheduling Strategies for Randomized Incremental Algorithms in the Context ofSpeculative Parallelization. Diego R. Llanos, David Orden, Belén Palop. IEEETransactions on Computers, vol. 56, no. 6, pp. 839-852, June 2007, ISSN 0018-9340, IEEE Press. Artículo JCR Q1.
8. TPCC-UVa: An Open-Source TPC-C Implementation for Global PerformanceMeasurement of Computer Systems. Diego R. Llanos. ACM SIGMOD Record, vol.35, no. 4, pages 6-15, December 2006, ISSN 0163-5808, ACM Press. Artículo JCRQ1.
2 de 4 / Currículum abreviado
CURRÍCULUM ABREVIADO (CVA) – Extensión máxima: 4 PÁGINASLea detenidamente las instrucciones disponibles en la web de la convocatoria
9. How Things Work: Speculative Parallelization. Arturo González-Escribano, Diego R.Llanos. Computer, vol. 39, no. 12, pp. 126-128, December 2006, ISSN 0018-9162,IEEE Press. Artículo JCR Q1.
10. Design Space Exploration of a Software Speculative Parallelization Scheme. MarceloCintra, Diego R. Llanos. IEEE Transactions on Parallel and Distributed Systems,vol. 16, no. 6, pags. 562-576, June 2005, ISSN 1045-9219, IEEE Press. Artículo JCRQ1.
C.2. Participación en proyectos de I+D+i
1. Referencia del proyecto: VA082P17.Título: PROPHET: paralelización de algoritmos secuenciales de aplicacionescientíficas y de ingeniería desplegables en plataformas heterogéneasinterconectadas.Investigador principal (nombre y apellidos): Diego R. Llanos Ferraris.Entidad financiadora: Junta de Castilla y León.Duración: 01/01/2017 a 31/12/2019. Financiación recibida (en euros): 120.000 €
2. Referencia del proyecto: TIN2017-88614-RTítulo: PCAS: Espacio de direcciones particionado, comunicado y escalableInvestigadores principales (nombre y apellidos): Diego R. Llanos Ferraris, ArturoGonzález-Escribano. Entidad financiadora: Ministerio de Educación y Ciencia.Duración: 01/01/2018 a 31/12/2020. Financiación recibida (en euros): 84.458 €
3. Referencia del proyecto: UNIVERSI/17/VA/1Título: PERIL: Prevention of Emergency Risks by Indoor LocalizationInvestigador principal (nombre y apellidos): Diego R. Llanos FerrarisEntidad financiadora: Junta de Castilla y LeónDuración: 01/01/2017 a 31/12/2017. Financiación recibida (en euros): 18.000 €
4. Referencia del proyecto: TIN2014-58876-PTítulo: Homogeneous Programming for Heterogeneous Systems (HomProg-HetSys)Investigadores principales (nombre y apellidos): Diego R. Llanos Ferraris, ArturoGonzález-EscribanoEntidad financiadora: Ministerio de Educación y Ciencia.Duración: 01/01/2015 a 31/12/2017. Financiación recibida (en euros): 22.800 €
5. Referencia del proyecto: TIN2011-25639Título: Modelos de generación de código paralelo portable (MOGECOPP)Investigador principal (nombre y apellidos): Arturo González-EscribanoEntidad financiadora: Ministerio de Educación y Ciencia.Duración: 01/01/2012 a 31/12/2014. Financiación recibida (en euros): 9.900 €
6. Referencia del proyecto: VA172A12-2.Título: Applied Thread-Level Speculation (ATLAS)Investigador principal (nombre y apellidos): Diego R. Llanos Ferraris.Entidad financiadora: Junta de Castilla y León.Duración: 01/01/2012 a 31/12/2014. Financiación recibida (en euros): 21.990 €
7. Referencia del proyecto: TIN-2007-62302.Título: Paralelización especulativa basada en softwareInvestigador principal (nombre y apellidos): Diego R. Llanos Ferraris.Entidad financiadora: Ministerio de Educación.Duración: 01/10/2007 a 3/8/2010. Financiación recibida (en euros): 13.000 €
8. Referencia del proyecto: VA094A08Título: Extracción de paralelismo en arquitecturas multicore.Investigador principal (nombre y apellidos): Diego R. Llanos Ferraris.
3 de 4 / Currículum abreviado
CURRÍCULUM ABREVIADO (CVA) – Extensión máxima: 4 PÁGINASLea detenidamente las instrucciones disponibles en la web de la convocatoria
Entidad financiadora: Junta de Castilla y León.Duración: 01/01/2008 a 31/12/2010. Financiación recibida (en euros): 8.800 €
C.3. Participación en contratos de I+D+i y principales acciones de transferencia
1. Co-fundador y director de operaciones de RDNest SL, empresa de basetecnológica participada por la Universidad de Valladolid en los campos de IoT,localización Indoor y computación de alto rendimiento.
2. Referencia del proyecto: CENIT-OCEANLIDERTítulo: OCEANLIDER: Líderes en energías renovables marinas.Investigador principal (nombre y apellidos): Diego R. Llanos, Arturo González.Entidad financiadora: CDTI (Centro para el Desarrollo Tecnológico Industrial)Duración: 01/09/2009 a 30/09/2012.Financiación recibida (en euros): 496.000 € (100% importe solicitado).
3. Referencia del proyecto: CENIT-OASISTítulo: OASIS: Operación de autopistas seguras, inteligentes y sostenibles.Investigador principal (nombre y apellidos): Diego R. Llanos, Arturo González.Entidad financiadora: CDTI (Centro para el Desarrollo Tecnológico Industrial)Duración: 01/09/2008 a 30/09/2011.Financiación recibida (en euros): 524.450 € (100% importe solicitado)
4. Referencia del proyecto: CENIT-MARTATítulo: MARTA: Movilidad y Automoción en Redes de Transporte Avanzadas.Investigador principal (nombre y apellidos): Diego R. Llanos, Arturo González.Entidad financiadora: CDTI (Centro para el Desarrollo Tecnológico Industrial)Duración: 01/09/2008 a 30/09/2010.Financiación recibida (en euros): 1.005.000 € (100% importe solicitado)
C.4. Patentes
Sistema para la ayuda a la conducción de vehículos automóviles basado en la gestión de lainformación sobre emisiones contaminantes. Inventores: Arturo González Escribano, DiegoR. Llanos et al. Número de solicitud: P201000662. Fecha de solicitud: 21 de mayo de 2010.Número de patente: ES 2426338 B1. Publicación en el BOPI: 21 de mayo de 2014. Paísesde prioridad: España. Empresa explotadora: GMV.
C.5. Premios y distinciones
ACM Senior Member (Association for Computing Machinery), marzo de 2014. IEEE Senior Member (The Institute of Electrical and Electronics Engineers), junio
2012. Premio “Consejo Social” de la Universidad de Valladolid al grupo de
investigación reconocido con una mayor proyección en contratos y colaboraciones con empresas, julio 2014.
Premio de Investigación Científica ``Arturo Duperier''. Trabajo galardonado: ``Un Nuevo Protocolo de Coherencia para Sistemas Multicomputador Basados en Estaciones de Trabajo Conectadas por una Red de Bus Común'' Convocado por la Conserjería de Educación y Cultura, Junta de Castilla y León. Año 2001.
Primer Premio ``Innovación y Desarrollo''. Apartado ``Tecnologías de la Información''. Convocado por la Junta de Castilla y León y la Universidad de Valladolid. Año 1995.
Primer Premio Nacional de Terminación de Estudios Universitarios. Ministerio de Educación de España. Año 1994.
Premio Extraordinario Fin de Carrera. Escuela Universitaria Politécnica de Valladolid, Universidad de Valladolid, España. Año 1993.
4 de 4 / Currículum abreviado
1 of 4 / Short CV
Short CV Before proceeding, please read carefully the instructions at the end of the document.
Part A. Personal Information Surname(s) Martín Santamaría Forename María José Social Security, Passport, ID number
Sex Female Age 47
Researcher codes
WoS Researcher ID (*) SCOPUS Author ID(*) Open Researcher and Contributor ID (ORCID) 0000-0002-9153-0909
(*) At least one of these is mandatory A.1. Current position Post/ Professional Category Associate Professor (Titular de Universidad)
UNESCO Code 330406 – Computer Architecture - , 120317 - Informatics -
Key Words High Performance Computing (HPC), parallel and distributed computing, computer architecture, fault tolerance
Name of the University/Institution
Universidade da Coruña Department/Centre Dep. Computer Engineering
Full Address Campus de Elviña s/n. 15071 A Coruña
Email Address [email protected] Phone Number 881011324
Start date 11/07/2003 A.2. Education (title, institution, date) Year University Degree Title 1993 Santiago de
Compostela B.S. (Licenciatura) Physics
1999 Santiago de Compostela
PhD Physics
A.3. Indicators of Quality in Scientific Production (See the instructions) Number of “sexenios” (6-year research periods): 3 (last year of the 3rd sexenio: 2016) Thesis supervised: 5, 3 with Best PhD Thesis Award (“Premio Extraordinario”), 2 with European/International Mention Total number of citations: 497 (Google Scholar) Average number of citations/year during the last 5 years (2013-2017): 246 (Google Scholar) Total number of publications in JCR journals: 28 (3 in Q1, and 12 in Q2) h-index: 12 (Google Scholar) i-10 index: 14 (Google Scholar) Part B. Free Summary of CV (Max. of 3.500 characters, including spaces) María J. Martín has a degree (1993) and a PhD in Physics from the Universidade de Santiago de Compostela. Her research training was completed by means of two research stays, the first one at the EPPC (Edinburgh Parallel Computing Center), the second one at the Computer Science Department at the Stanford University. She is currently an Associate Professor at the Universidade da Coruña (UDC), She has 3 6-year research periods (“sexenios”) and a Positive National Evaluation for Promotion to Full Professor since 2015.
DATE 15/06/2018
2 of 4 / Short CV
Short CV Before proceeding, please read carefully the instructions at the end of the document.
Her main research area is High Performance Computing (HPC) and, more specifically, parallel and distributed computing and fault tolerance. She is currently co-author of more than 100 international articles, including 28 articles in JCR journals (15 in the last 5 years) and 42 in proceedings of conferences listed in the CORE Conference Ranking (26 with rank A or B). She has supervised 5 PhD theses (3 of them awarded as Best PhD theses). She has participated actively and continuously in both national and regional projects funded by competitive public calls. Specifically, she has been a member of the research team in 10 national projects and 13 regional ones, being PI of one of them. She has also been a member of the research team of 1 International Project within the CYTED Ibero-American Program and 1 research contract within the framework of an European project of the 5th FP of the EU. In addition, she has participated in 6 International Research Networks, funded by the EU, of recognized prestige in the HPC field and 19 National and Regional Networks. As a result of her research results, she has registered 4 software products in the IP Office. In addition, she has participated in 4 contracts with the CESGA (Galician Supercomputing Center) and 2 contracts with Hewlett-Packard for technology transfer. With regard to the transfer of knowledge, she has taught on a large number of HPC training courses for researchers. Specifically, she has participated as a teacher in the 3 editions of the Computational Science Summer School (years 2009, 2010 and 2011) organized by the CESGA, as well as in the Winter School organized by the High Performance Computing Network on Heterogeneous Parallel Architecture (CAPAP-H) in 2010. María J. Martín has served in the Program Committee of several international conferences, including the Europar and the CCGRID (both ranked as CORE A). She has been also a Reviewer for the Spanish Research Agency (AEI) of projects and other research actions from the Spanish R&D Plan, a Member of the Technical Committee of Evaluation of the Juan de la Cierva Spanish postdoctoral research contracts, and a Member of the Technical Commission for the Evaluation of the Spanish National Projects in the IT (Information Technologies) area. Currently, and since March 2016, she is the Chair of the Computer Engineering Department at UDC. Part C. Relevant accomplishments C.1. Publications 1. J. González-Domínguez, M.J. Martín. MPIGeneNet: Parallel Calculation of Gene Co-
Expression Networks on Multicore Clusters. IEEE/ACM Transactions on Computational Biology and Bioinformatics, published online, doi: 10.1109/TCBB.2017.2761340 (in JCR, Q1)
2. N. Losada, B.B. Fraguela, P. González, M.J. Martín. A portable and adaptable fault tolerance solution for heterogeneous applications. Journal of Parallel and Distributed Computing, 104:146-158, June 2017 (in JCR, Q2)
3. J. González-Domínguez and María J. Martín. Fast Parallel Construction of Correlation Similarity Matrices for Gene Co-Expression Networks on Multicore Clusters. Procedia Computer Science, 108, pp. 485-494, 2017 (International Conference on Computational Science, ICCS 2017, Zurich, Switzerland, June 2017). (CORE A Conference)
4. J. González-Domínguez, B. Remeseiro, M.J. Martín. Parallel Definition of Tear Film Maps on Distributed-Memory Clusters for the Support of Dry Eye Diagnosis. Computer Methods and Programs in Biomedicine, 139: 51-60, February 2017. (in JCR, Q1)
5. N. Losada, M.J. Martín, P. González. Assessing resilient versus stop-and-restart fault-tolerant solutions in MPI applications. The Journal of Supercomputing, 73(1): 316-329, 2017. (in JCR, Q2)
6. N. Losada, I. Cores, M.J. Martín, P. González. Resilient MPI applications using an application-level checkpointing framework and ULFM. The Journal of Supercomputing, 73(1): 100-113, 2017. (in JCR, Q2)
7. S. Vázquez, M.J. Martín, B.B. Fraguela, A. Gómez, A. Rodríguez, B. Elvarsson. Novel Parallelization of Simulated Annealing and Hooke & Jeeves Search Algorithms for
3 of 4 / Short CV
Short CV Before proceeding, please read carefully the instructions at the end of the document.
Multicore Systems with Application to Complex Fisheries Stock Assessment Models. Journal of Computational Science, 17(3):599-608, November 2016. (in JCR, Q2)
8. J. González-Domínguez, O.A. Marques, M.J. Martín, J. Touriño. A 2D Algorithm with Asymmetric Workload for the UPC Conjugate Gradient Method. Journal of Supercomputing, 70(2):816-829, November 2014. (in JCR, Q2)
9. I. Cores, G. Rodríguez, M.J. Martín, P. González. In-memory application-level checkpoint-based migration for MPI programs. The Journal of Supercomputing, 70(2): 660-670, November 2014. (in JCR, Q2)
10. J. González-Domínguez, O. García-López, G.L. Taboada, M.J. Martín, J. Touriño. Performance Evaluation of Sparse Matrix Products in UPC. Journal of Supercomputing, 64(1): 100-109, 2013. (in JCR, Q2)
C.2. Research Projects and Grants 1. Consolidation of Competitive Research Groups: Computer Architecture Group at the University of A Coruña (Galician Regional Government, Spain, ref. ED431C 2017/04). PI: Juan Touriño. 01/10/2017-30/11/2020, 320.000€. Role: Member of the research team
2. New Challenges in High Performance Computing: from Architectures to Applications II (Ministry of Economy and Competitiveness of Spain, ref. TIN2016-75845-P). PIs: Ramón Doallo and Juan Touriño. 30/12/2016-29/12/2019, 379.577€. Role: Coordinator of the fault tolerance research line
3. New Challenges in High Performance Computing: from Architectures to Applications (Ministry of Economy and Competitiveness of Spain, ref. TIN2013-42148-P). PIs: Ramón Doallo and Juan Touriño. 01/01/2014-31/12/2016, 286.528€. Role: Coordinator of the fault tolerance research line 4. Consolidation of Competitive Research Groups: Computer Architecture Group at the University of A Coruña (Galician Regional Government, Spain, ref. GRC2013/055). PI: Ramón Doallo. 01/10/2013-31/12/2016, 296.000€. Role: Member of the research team 5. Support for Message-Passing Applications on Supercomputers: Faul Tolerance and Malleability (Galician Regional Government, Spain, ref.10PXIB105180PR). PI: Maria J. Martín. 01/11/2010-/01/11/2013, 48.093€. Role: PI C.3. Contracts 1. Analysis of Big Data-as-a-Service Solutions regarding Performance and Functionality, R&D contract funded by Torus Software Solutions S.L., ref. INV13317. PIs: Juan Touriño and Ramón Doallo. 18/12/2017-31/07/2018, 19.360€. Role: Member of the research team
2. Development of a PaaS Architecture for the Efficient Execution of Big Data Applications on CESGA Infrastructures, R&D contract funded by the Galician Supercomputing Center (CESGA), ref. INV12916. PI: Juan Touriño. 12/12/2016-31/03/2017, 9.680€. Role: Member of the research team 3. Improving UPC Usability and Performance in Constellation Systems: Implementation/Extension of UPC Libraries. R&D contract funded by Hewlett-Packard. PI: Ramón Doallo, 01/05/2008-30/04/2011, 153.459€. Role: Member of the research team C.4. Patents and other IPR 1. J. González-Domínguez, M.J. Martín. MPIGeneNet. Software registered in the IP Office, nº 03/2018/175, 02/11/2017. Holder entity: UDC. 2. J. González-Domínguez, M.J. Martín. MPICorMat. Software registered in the IP Office, nº 03/2017/1011, 27/06/2017. Holder entity: UDC.
4 of 4 / Short CV
Short CV Before proceeding, please read carefully the instructions at the end of the document.
3. J. González-Domínguez, G.L. Taboada, B.B. Fraguela, M.J. Martín, J. Touriño. Servet Benchmark Suite. Software registered in the IP Office, nº 03/2013/1624, 07/08/2013. Holder entity: UDC. C.5. Assessment or Advisory R&D Tasks 1. Member of the Technical Commission for the Evaluation of the Spanish National Projects in the IT (Information Technologies) area, 2017. 2. Member of the Evaluation Committee of postdoctoral Juan de la Cierva Contracts (Computer Science Area), Ministry of Economy, Industry and Competitiveness, 2016. 3. Reviewer of projects and other research actions from the Spanish R&D Plan, AEI (Spanish Research Agency), since 2014. C.6. PhD Theses Supervised 1. Fault Tolerance and Malleability in Parallel Message-Passing Applications. Iván Cores González. December 2015. (Best PhD Thesis Award and International Mention) 2. UPCBLAS: A Numerical Library for Unified Parallel C with Architecture-Aware Optimizations. Jorge González Domínguez. February 2013 (Best PhD Thesis Award and International Mention) C.6. Membership of International Committees 1. Local Chair of the Program Committee of the 23rd International Conference on Parallel and Distributed Computing, Europar 2017. 2. Member of the Program Committee of the 17th IEEE/ACM International Symposium on Cluster, Clouds and Grid Computing, CCGRID 2017. 3. Member of the Program Committee of the 2nd abd 3rd International Workshop on Sustainable Ultrascale Computing Systems, NESUS 2015 and 2016. 4. Member of the Program Committee of the HPC-E5: Workshop on Extreme Data Parallel Processing, Exascale Systems Engineering and Energy Efficiency, 2015 5. Member of the Scientific Committee of the Workshop on Techniques and Applications for Sustainable Ultrascale Computing, TASUS 2014. C.6. Other Merits 1. Positive evaluation of three 6-year research periods (“sexenios”) by the CNEAI (Spanish National Committee for the Evaluation of Research Activities) 2. Positive national evaluation for promotion to Full Professor conceded by the ANECA (National Agency for Quality Assessment and Accreditation of Spain), September 2015. 3. Reviewer in the following JCR journals: IEEE Transactions on Education; Concurrency and Computation: Practice and Experience; Computers and Electrical Engineering; Computer Journal; Cluster Computing; Journal of Parallel and Distributed Computing; Parallel Computing; International Journal of High Performance Computing Applications 4. Department Chair of the Computer Engineering Department at UDC since March 2016
Este fichero electrónico (PDF) contiene incrustada la tecnología CVN (CVN-XML). La tecnología CVN de este fichero permite exportar e importar los datos
curriculares desde y hacia cualquier base de datos compatible. Listado de Bases de Datos adaptadas disponible en http://cvn.fecyt.es/
María de los Santos PérezHernándezGenerado desde: Editor CVN de FECYTFecha del documento: 20/11/2018v 1.4.00fd8f32479096078872da28d1bc9a743
0fd8f32479096078872da28d1bc9a743
2
Resumen libre del currículum
Descripción breve de la trayectoria científica, los principales logros científico-técnicos obtenidos,los intereses y objetivos científico-técnicos a medio/largo plazo de la línea de investigación.Incluye también otros aspectos o peculiaridades importantes.
La candidata considera que posee un CV muy equilibrado en los tres ejes que representan laactividad universitaria: investigación, docencia y gestión.
Respecto al primer apartado, investigación, de acuerdo a Google Scholar, la candidata poseeun índice h 19, tomando este índice el valor 13 si sólo se consideran las publicacionesde los últimos 5 años. El número de publicaciones de la candidata con factor de impactoes 42. La candidata también posee un gran número de artículos publicados en actas decongresos recogidos en la lista publicada por CORE. El número de artículos clasificadosen esta lista con ranking CORE A es 19. Así mismo, también se caracteriza por unagran participación en la comunidad investigadora, como atestiguan la organización demúltiples conferencias internacionales, la edición de publicaciones internacionales o laimpartición de diversos seminarios. La candidata ha realizado 3 estancias en Centros deInvestigación y tiene reconocidos tres tramos de actividad investigadora. También destacapor una abundante actividad en proyectos de investigación competitivos. Es reseñablesu participación en 5 proyectos europeos, en 2 de los cuáles participa como IP y en1 de ellos como coordinadora general del proyecto, así como su participación en otros6 proyectos competitivos a nivel nacional. Respecto a la coordinación de proyectos, lasolicitante ha dirigido 6 proyectos competitivos, subvencionados por entidades públicas y 1proyecto competitivo, subvencionado por una empresa privada. Ha participado como expertaevaluadora tanto en la Comisión Europea como para la Agencia Nacional de Evaluación yProspectiva. En relación a la transferencia de conocimiento al sector productivo, la candidataha participado en 3 proyectos y posee un registro de propiedad intelectual.
Respecto al segundo eje, docencia, la solicitante tiene una amplia experiencia docente,acreditada por sus más de 18 años de experiencia docente y su impartición de 27 asignaturasen titulaciones de ingeniería, grado, máster y doctorado, principalmente incluidas dentro delÁrea de Arquitectura y Tecnología de Computadores. Tiene reconocidos tres periodos dedocencia y ha logrado informe MUY FAVORABLE en el programa de evaluación DOCENTIAentre los años 2007-2012. La solicitante ha coordinado un total de 6 asignaturas. Su actividaddocente también está avalada por la dirección de 7 tesis doctorales, 3 de las cuáles hanconseguido el premio extraordinario de doctorado, con mención de excelencia y una de ellasen un centro de prestigio extranjero. En la actualidad se encuentra dirigiendo la tesis deotros 3 investigadores. Adicionalmente, ha dirigido 44 proyectos fin de carrera y 2 TrabajosFin de Máster. También participó como coordinadora del Máster Universitario en IngenieríaInformática. Ha publicado un total de 3 libros docentes asociados a asignaturas que impartey ha participado en 12 proyectos de Innovación Docente, coordinando 1 de ellos.
0fd8f32479096078872da28d1bc9a743
3
Finalmente, respecto al tercer eje, gestión, la solicitante tiene amplia experiencia. Ha ejercidocomo Directora del Departamento de Arquitectura de Computadores y Tecnología de SistemasInformáticos durante 19 meses. Fue elegida como Miembro de Junta de Facultad en Marzode 2012, puesto que mantiene en la actualidad. También ejerció el cargo de Vicedecana dePostgrado e Investigación de la E.T.S. de Ingenieros Informáticos, habiendo estado en dichocargo durante 4 años.
0fd8f32479096078872da28d1bc9a743
4
Indicadores generales de calidad de la producción científica
Descripción breve de los principales indicadores de calidad de la producción científica (sexeniosde investigación, tesis doctorales dirigidas, citas totales, publicaciones en primer cuartil (Q1),índice h....). Incluye también otros aspectos o peculiaridades importantes.
Índice h: 19Índice i10: 41Número de citas: 1397Fecha: Nov 2018
0fd8f32479096078872da28d1bc9a743
5
María de los Santos Pérez Hernández
Apellidos: Pérez HernándezNombre: María de los SantosDNI: 06577696HORCID: 0000-0003-2949-3307ScopusID: 56315139200ResearcherID: L-4795-2015Fecha de nacimiento: 22/07/1974Sexo: MujerTeléfono fijo: (+34) 910672857Correo electrónico: [email protected]ágina web personal: https://www.datsi.fi.upm.es/~mperez
Situación profesional actual
Entidad empleadora: Universidad Politécnica de MadridDepartamento: Arquitectura y Tecnología de Sistemas Informáticos, E.T.S. de Ingenieros InformáticosCategoría profesional: Catedrática de UniversidadFecha de inicio: 09/07/2018Modalidad de contrato: Funcionario/a Régimen de dedicación: Tiempo completoPrimaria (Cód. Unesco): 330400 - Tecnología de los ordenadoresSecundaria (Cód. Unesco): 330406 - Arquitectura de ordenadoresTerciaria (Cód. Unesco): 330418 - Dispositivos de almacenamientoIdentificar palabras clave: Ciencias de la computación y tecnología informática
Cargos y actividades desempeñados con anterioridad
Entidad empleadora Categoría profesional Fechade inicio
1 Universidad Politécnica de Madrid Profesora Titular de Universidad 05/06/20072 Universidad Politécnica de Madrid Vicedecana de Postgrado e Investigación 08/06/20123 Universidad Politécnica de Madrid Directora de Departamento 10/11/20104 Universidad Politécnica de Madrid Profesora Titular de Universidad Interina 01/12/20035 Universidad Politécnica de Madrid Profesora Asociada 21/10/19986 Universidad Politécnica de Madrid Becaria de grado 01/07/1996
1 Entidad empleadora: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Categoría profesional: Profesora Titular de UniversidadFecha de inicio-fin: 05/06/2007 - 08/07/2018
2 Entidad empleadora: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Categoría profesional: Vicedecana de Postgrado e Investigación
0fd8f32479096078872da28d1bc9a743
6
Fecha de inicio-fin: 08/06/2012 - 28/06/2016
3 Entidad empleadora: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Categoría profesional: Directora de DepartamentoFecha de inicio-fin: 10/11/2010 - 07/06/2012
4 Entidad empleadora: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Categoría profesional: Profesora Titular de Universidad InterinaFecha de inicio-fin: 01/12/2003 - 04/06/2007
5 Entidad empleadora: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Categoría profesional: Profesora AsociadaFecha de inicio-fin: 21/10/1998 - 30/11/2003
6 Entidad empleadora: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Categoría profesional: Becaria de gradoFecha de inicio-fin: 01/07/1996 - 30/06/1998
0fd8f32479096078872da28d1bc9a743
7
Formación académica recibida
Titulación universitaria
Estudios de 1º y 2º ciclo, y antiguos ciclos (Licenciados, Diplomados, Ingenieros Superiores,Ingenieros Técnicos, Arquitectos)
1 Titulación universitaria: PredoctoralNombre del título: Reconocimiento Suficiencia InvestigadoraEntidad de titulación: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de titulación: 01/06/2001
2 Titulación universitaria: Titulado SuperiorNombre del título: Licenciado en InformáticaEntidad de titulación: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de titulación: 06/10/1998Nota media del expediente: SobresalientePremio: Premio extraordinario de licenciatura
Doctorados
Programa de doctorado: Doctor en InformáticaEntidad de titulación: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de titulación: 10/07/2003Doctorado Europeo: NoTítulo de la tesis: Arquitectura Multiagente de E/S de Alto Rendimiento en ClustersDirector/a de tesis: Jesús Carretero PérezCodirector/a de tesis: Félix García CarballeiraCalificación obtenida: Sobresaliente Cum Laude por unanimidadMención de calidad: NoPremio extraordinario doctor: Si Fecha de obtención: 2004
Formación especializada, continuada, técnica, profesionalizada, de reciclaje y actualización(distinta a la formación académica reglada y a la sanitaria)
1 Título de la formación: Curso de Big Data y Soluciones de ISVs del MarketplaceEntidad de titulación: Plain Concepts Tipo de entidad: Entidad EmpresarialFecha de finalización: 30/09/2016 Duración en horas: 28 horas
2 Título de la formación: Sixth European Summer School on Ontological Engineering and the Semantic WebEntidad de titulación: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
0fd8f32479096078872da28d1bc9a743
8
Fecha de finalización: 11/07/2008 Duración en horas: 40 horas
Cursos y seminarios recibidos de perfeccionamiento, innovación y mejora docente, nuevastecnologías, etc., cuyo objetivo sea la mejora de la docencia
1 Título del curso/seminario: Evaluacion del profesorado: Programa DOCENTIA (Dirigido a Directores deDepartamentos de la UPM)Objetivos del curso/seminario: Formar a los Directores de Departamento sobre el programa DOCENTIA,programa dirigido a mejorar la labor docente del profesoradoEntidad organizadora: ICE, Instituto de Ciencias de laEducación de la UPM
Tipo de entidad: Instituto Universitario de Investigación
Duración en horas: 3 horasFecha de inicio-fin: 02/11/2011 - 02/11/2011
2 Título del curso/seminario: Formación del profesorado para la convergencia en E.E.E.S.Objetivos del curso/seminario: Formar a los profesores en la convergencia de los estudios universitarios en elEspacio de Educación Superior en EuropaEntidad organizadora: ICE, Instituto de Ciencias de la Educacion de la UPMDuración en horas: 15 horasFecha de inicio-fin: 28/06/2005 - 30/06/2005
3 Título del curso/seminario: AulaWeb: Sistema de e-learning para el apoyo al seguimiento e impartición deasignaturasObjetivos del curso/seminario: Formación en la herramienta AulaWeb, un sistema de e-learning de aplicación adiferentes asignaturas en la Universidad.Entidad organizadora: ICE, Instituto de Ciencias de laEducacion de la UPM
Tipo de entidad: Instituto Universitario de Investigación
Duración en horas: 6 horasFecha de inicio-fin: 10/02/2004 - 11/02/2004
Conocimiento de idiomas
Idioma Comprensiónauditiva
Comprensiónde lectura
Interacción oral Expresión oral Expresión escrita
Inglés C1 C1 C1 C1
Actividad docente
Formación académica impartida
1 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Sistemas Operativos AvanzadosTipo de programa: Máster oficialTipo de asignatura: ObligatoriaTitulación universitaria: Máster Universitario en Ingeniería InformáticaCurso que se imparte: 1Fecha de inicio: 01/09/2013 Fecha de finalización: 31/07/2018
0fd8f32479096078872da28d1bc9a743
9
Fecha de finalización: 31/07/2018 Tipo de horas/créditos ECTS: CréditosNº de horas/créditos ECTS: 3Entidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Facultad, instituto, centro: Escuela Técnica Superior de Ingenieros InformáticosDepartamento: Departamento de Lenguajes, Sistemas Informáticos e Ingeniería del Software
2 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Sistemas DistribuidosTipo de programa: IngenieríaTipo de asignatura: ObligatoriaTitulación universitaria: Grado en Ingeniería InformáticaCurso que se imparte: 3Fecha de inicio: 01/09/2010 Fecha de finalización: 31/07/2018Fecha de finalización: 31/07/2018 Tipo de horas/créditos ECTS: CréditosNº de horas/créditos ECTS: 6Entidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Facultad, instituto, centro: Escuela Técnica Superior de Ingenieros InformáticosDepartamento: Departamento de Arquitectura y Tecnología de Sistemas Informáticos
3 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Sistemas Informáticos IndustrialesTipo de programa: IngenieríaTipo de asignatura: OptativaTitulación universitaria: Grado en Ingeniería Electrónica Industrial y AutomaticaCurso que se imparte: 4Fecha de inicio: 01/09/2016 Fecha de finalización: 31/01/2018Fecha de finalización: 31/01/2018 Tipo de horas/créditos ECTS: CréditosNº de horas/créditos ECTS: 7,5Entidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Facultad, instituto, centro: Escuela Técnica Superior de Ingeniería y Diseño IndustrialDepartamento: Departamento de Ingeniería Eléctrica, Electrónica automática y Física Aplicada
4 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Programación paralela y distribuidaTipo de programa: Máster oficialTipo de asignatura: OptativaTitulación universitaria: Máster Universitario en Ingeniería InformáticaCurso que se imparte: 2Fecha de inicio: 01/09/2014 Fecha de finalización: 31/01/2018Fecha de finalización: 31/01/2018 Tipo de horas/créditos ECTS: CréditosNº de horas/créditos ECTS: 4,5Entidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Facultad, instituto, centro: Escuela Técnica Superior de Ingenieros InformáticosDepartamento: Departamento de Lenguajes, Sistemas Informáticos e Ingeniería del Software
0fd8f32479096078872da28d1bc9a743
10
5 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Sistemas OperativosTipo de programa: IngenieríaTipo de asignatura: ObligatoriaTitulación universitaria: Grado en Ingeniería InformáticaCurso que se imparte: 2Fecha de inicio: 01/09/2012 Fecha de finalización: 31/07/2017Fecha de finalización: 31/07/2017 Tipo de horas/créditos ECTS: CréditosNº de horas/créditos ECTS: 6Entidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Facultad, instituto, centro: Escuela Técnica Superior de Ingenieros InformáticosDepartamento: Departamento de Arquitectura y Tecnología de Sistemas Informáticos
6 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Big DataTipo de programa: Máster oficialTipo de asignatura: OptativaTitulación universitaria: Máster Universitario en Ingeniería InformáticaCurso que se imparte: 2Fecha de inicio: 01/09/2015 Fecha de finalización: 31/07/2016Fecha de finalización: 31/07/2016 Tipo de horas/créditos ECTS: CréditosNº de horas/créditos ECTS: 6Entidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Facultad, instituto, centro: Escuela Técnica Superior de Ingenieros InformáticosDepartamento: Departamento de Lenguajes, Sistemas Informáticos e Ingeniería del SoftwareIdioma de la asignatura: Inglés
7 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Computación para Ciencias e IngenieríaTipo de programa: Máster oficialTipo de asignatura: ObligatoriaTitulación universitaria: Máster Universitario en Ingeniería InformáticaCurso que se imparte: 1Fecha de inicio: 01/09/2013 Fecha de finalización: 31/07/2014Fecha de finalización: 31/07/2014 Tipo de horas/créditos ECTS: CréditosNº de horas/créditos ECTS: 6Entidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Facultad, instituto, centro: Escuela Técnica Superior de Ingenieros InformáticosDepartamento: Departamento de Lenguajes, Sistemas Informáticos e Ingeniería del Software
8 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Administración de Sistemas OperativosTipo de programa: IngenieríaTipo de asignatura: OptativaTitulación universitaria: Grado en Ingeniería InformáticaCurso que se imparte: 4Fecha de inicio: 01/09/2012 Fecha de finalización: 31/07/2014Fecha de finalización: 31/07/2014 Tipo de horas/créditos ECTS: Créditos
0fd8f32479096078872da28d1bc9a743
11
Nº de horas/créditos ECTS: 3Entidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Facultad, instituto, centro: Escuela Técnica Superior de Ingenieros InformáticosDepartamento: Departamento de Arquitectura y Tecnología de Sistemas Informáticos
9 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Hardware y Software para computación de altas prestacionesTipo de programa: Máster oficialTipo de asignatura: ObligatoriaTitulación universitaria: Máster Universitario en Computación Avanzada para Ciencias e IngenieríasCurso que se imparte: 1Fecha de inicio: 01/09/2010 Fecha de finalización: 31/07/2013Fecha de finalización: 31/07/2013 Tipo de horas/créditos ECTS: CréditosNº de horas/créditos ECTS: 4Entidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Facultad, instituto, centro: Facultad de InformáticaDepartamento: Departamento de Arquitectura y Tecnología de Sistemas InformáticosIdioma de la asignatura: Inglés
10 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Computacion para IngenieríaTipo de programa: Máster oficialTipo de asignatura: ObligatoriaTitulación universitaria: Máster Universitario en Ingeniería InformáticaCurso que se imparte: 1Fecha de inicio: 01/09/2010 Fecha de finalización: 31/07/2013Fecha de finalización: 31/07/2013 Tipo de horas/créditos ECTS: CréditosNº de horas/créditos ECTS: 6Entidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Facultad, instituto, centro: Facultad de InformáticaDepartamento: Departamento de Lenguajes, Sistemas Informáticos e Ingeniería del Software
11 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Diseño de sistemas operativosTipo de programa: IngenieríaTipo de asignatura: ObligatoriaTitulación universitaria: Ingeniería Informática (Plan 96)Curso que se imparte: 4Fecha de inicio: 01/10/2004 Fecha de finalización: 31/07/2012Fecha de finalización: 31/07/2012 Tipo de horas/créditos ECTS: CréditosNº de horas/créditos ECTS: 9Entidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Facultad, instituto, centro: Facultad de InformáticaDepartamento: Departamento de Arquitectura y Tecnología de Sistemas Informáticos
0fd8f32479096078872da28d1bc9a743
12
12 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Sistemas Operativos DistribuidosTipo de programa: IngenieríaTipo de asignatura: OptativaTitulación universitaria: Ingeniería Informática (Plan 96)Curso que se imparte: 4Fecha de inicio: 01/09/2002 Fecha de finalización: 31/07/2012Fecha de finalización: 31/07/2012 Tipo de horas/créditos ECTS: CréditosNº de horas/créditos ECTS: 9Entidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Facultad, instituto, centro: Facultad de InformáticaDepartamento: Departamento de Arquitectura y Tecnología de Sistemas Informáticos
13 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Diseño de Servicios WebTipo de programa: IngenieríaTipo de asignatura: Libre configuraciónTitulación universitaria: Ingeniería Informática (Plan 96)Curso que se imparte: 5Fecha de inicio: 01/10/2000 Fecha de finalización: 31/07/2012Fecha de finalización: 31/07/2012 Tipo de horas/créditos ECTS: CréditosNº de horas/créditos ECTS: 6Entidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Facultad, instituto, centro: Facultad de InformáticaDepartamento: Departamento de Arquitectura y Tecnología de Sistemas Informáticos
14 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Administración de sistemasTipo de programa: Máster oficialTipo de asignatura: OptativaTitulación universitaria: Máster Universitario en Ingeniería InformáticaFecha de inicio: 01/09/2010 Fecha de finalización: 31/07/2011Fecha de finalización: 31/07/2011 Tipo de horas/créditos ECTS: CréditosNº de horas/créditos ECTS: 4Entidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Facultad, instituto, centro: Facultad de InformáticaDepartamento: Departamento de Arquitectura y Tecnología de Sistemas Informáticos
15 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Computación cluster y gridTipo de programa: IngenieríaTipo de asignatura: Libre configuraciónTitulación universitaria: Ingeniería Informática (Plan 96)Curso que se imparte: 5Fecha de inicio: 01/10/2007 Fecha de finalización: 31/07/2011Fecha de finalización: 31/07/2011 Tipo de horas/créditos ECTS: CréditosNº de horas/créditos ECTS: 4,5
Tipo de entidad: Universidad
0fd8f32479096078872da28d1bc9a743
13
Entidad de realización: Universidad Politécnica deMadridFacultad, instituto, centro: Facultad de InformáticaDepartamento: Departamento de Arquitectura y Tecnología de Sistemas Informáticos
16 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Computación gridTipo de programa: Máster oficialTipo de asignatura: OptativaTitulación universitaria: Máster en Tecnologías de la InformaciónFecha de inicio: 01/09/2006 Fecha de finalización: 31/07/2011Fecha de finalización: 31/07/2011 Tipo de horas/créditos ECTS: CréditosNº de horas/créditos ECTS: 4Entidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Facultad, instituto, centro: Facultad de InformáticaDepartamento: Departamento de Arquitectura y Tecnología de Sistemas Informáticos
17 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Sistemas operativos distribuidosTipo de programa: Máster oficialTipo de asignatura: OptativaTitulación universitaria: Máster en Tecnologías de la InformaciónFecha de inicio: 01/09/2006 Fecha de finalización: 31/07/2011Fecha de finalización: 31/07/2011 Tipo de horas/créditos ECTS: CréditosNº de horas/créditos ECTS: 6Entidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Facultad, instituto, centro: Facultad de InformáticaDepartamento: Departamento de Arquitectura y Tecnología de Sistemas Informáticos
18 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Administración de Sistemas OperativosTipo de programa: IngenieríaTipo de asignatura: Libre configuraciónTitulación universitaria: Ingeniería Informática (Plan 96)Curso que se imparte: 5Fecha de inicio: 01/09/2001 Fecha de finalización: 31/07/2011Fecha de finalización: 31/07/2011 Tipo de horas/créditos ECTS: CréditosNº de horas/créditos ECTS: 6Entidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Facultad, instituto, centro: Facultad de InformáticaDepartamento: Departamento de Arquitectura y Tecnología de Sistemas Informáticos
19 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Sistemas InformáticosTipo de programa: IngenieríaTipo de asignatura: TroncalTitulación universitaria: Ingeniería Informática (Plan 96)Curso que se imparte: 5
0fd8f32479096078872da28d1bc9a743
14
Fecha de inicio: 01/09/2001 Fecha de finalización: 31/07/2009Fecha de finalización: 31/07/2009 Tipo de horas/créditos ECTS: CréditosNº de horas/créditos ECTS: 15Entidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Facultad, instituto, centro: Facultad de InformáticaDepartamento: Departamento de Arquitectura y Tecnología de Sistemas Informáticos
20 Nombre de la asignatura/curso: Seguridad en los Sistemas OperativosTitulación universitaria: Máster en Auditoría y Seguridad InformáticaFecha de inicio: 15/01/2005 Fecha de finalización: 15/12/2008Fecha de finalización: 15/12/2008Entidad de realización: Asociación de DoctoresLicenciados e Ingenieros en Informática y UniversidadPolitécnica de Madrid
Tipo de entidad: Asociaciones y Agrupaciones
21 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Caso práctico: Implementación de sistemas distribuidosTipo de programa: Máster oficialTipo de asignatura: OptativaTitulación universitaria: Máster en Tecnologías de la InformaciónFecha de inicio: 01/09/2006 Fecha de finalización: 31/07/2007Fecha de finalización: 31/07/2007 Tipo de horas/créditos ECTS: CréditosNº de horas/créditos ECTS: 4Entidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Facultad, instituto, centro: Facultad de InformáticaDepartamento: Departamento de Arquitectura y Tecnología de Sistemas Informáticos
22 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Diseño e implementación de sistemas distribuidosTipo de programa: Máster oficialTipo de asignatura: OptativaTitulación universitaria: Máster en Tecnologías de la InformaciónFecha de inicio: 01/09/2006 Fecha de finalización: 31/07/2007Fecha de finalización: 31/07/2007 Tipo de horas/créditos ECTS: CréditosNº de horas/créditos ECTS: 6Entidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Facultad, instituto, centro: Facultad de InformáticaDepartamento: Departamento de Arquitectura y Tecnología de Sistemas Informáticos
23 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Computación GridTipo de programa: Doctorado/aTipo de asignatura: Libre configuraciónTitulación universitaria: Doctorado Interdepartamental en InformáticaCurso que se imparte: 1Fecha de inicio: 01/09/2004 Fecha de finalización: 31/07/2006Fecha de finalización: 31/07/2006 Tipo de horas/créditos ECTS: CréditosNº de horas/créditos ECTS: 3
0fd8f32479096078872da28d1bc9a743
15
Entidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Facultad, instituto, centro: Facultad de InformáticaDepartamento: Departamento de Arquitectura y Tecnología de Sistemas Informáticos
24 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Sistemas OperativosTipo de programa: IngenieríaTipo de asignatura: ObligatoriaTitulación universitaria: Ingeniería Informática (Plan 96)Curso que se imparte: 2Fecha de inicio: 01/11/1998 Fecha de finalización: 31/07/2006Fecha de finalización: 31/07/2006 Tipo de horas/créditos ECTS: CréditosNº de horas/créditos ECTS: 6Entidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Facultad, instituto, centro: Facultad de InformáticaDepartamento: Departamento de Arquitectura y Tecnología de Sistemas Informáticos
25 Nombre de la asignatura/curso: Sistemas OperativosTitulación universitaria: Máster en Sistemas de Información para la DefensaFecha de inicio: 01/09/2002 Fecha de finalización: 01/09/2005Fecha de finalización: 01/09/2005Entidad de realización: Escuela de Informática del Ejército
26 Nombre de la asignatura/curso: Análisis y diseño de páginas webTitulación universitaria: Máster en Sistemas de Información para la DefensaFecha de inicio: 01/09/2001 Fecha de finalización: 01/09/2003Fecha de finalización: 01/09/2003Entidad de realización: Escuela de Informática del Ejército
27 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Informática GeneralTipo de programa: IngenieríaTipo de asignatura: Libre configuraciónTitulación universitaria: Ingeniería Informática (Plan 96)Curso que se imparte: 1Fecha de inicio: 01/09/1999 Fecha de finalización: 31/07/2003Fecha de finalización: 31/07/2003 Tipo de horas/créditos ECTS: CréditosNº de horas/créditos ECTS: 6Entidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Facultad, instituto, centro: Facultad de InformáticaDepartamento: Departamento de Arquitectura y Tecnología de Sistemas Informáticos
28 Tipo de docencia: Docencia oficialNombre de la asignatura/curso: Fundamentos de Programación de Sistemas OperativosTipo de programa: IngenieríaTipo de asignatura: Libre configuraciónTitulación universitaria: Ingeniería Informática (Plan 96)Curso que se imparte: 2
0fd8f32479096078872da28d1bc9a743
16
Fecha de inicio: 01/11/1998 Fecha de finalización: 31/07/2000Fecha de finalización: 31/07/2000 Tipo de horas/créditos ECTS: CréditosNº de horas/créditos ECTS: 4,5Entidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Facultad, instituto, centro: Facultad de InformáticaDepartamento: Departamento de Arquitectura y Tecnología de Sistemas Informáticos
Dirección de tesis doctorales y/o proyectos fin de carrera
1 Título del trabajo: Tyr: Storage-Based HPC and Big Data Convergence Using Transactional BlobsCodirector/a tesis: María S. Pérez Hernández; Gabriel AntoniuEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Pierre MatriCalificación obtenida: Sobresaliente Cum LaudeFecha de defensa: 11/06/2018Doctorado Europeo: Si Fecha de mención: 11/06/2018Mención de calidad: Si Fecha de obtención: 11/06/2018
2 Título del trabajo: Diseño e Implementación de una aplicación web para evaluar datos de dudosa validezTipo de proyecto: Trabajo Fin de GradoEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Hugo Arroyo MartínFecha de defensa: 30/01/2018
3 Título del trabajo: Dirección de proyectos de desarrollo en la AGETipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Carlos Castro AdellFecha de defensa: 29/09/2017
4 Título del trabajo: Diseño e implementación de un clúster de HPCTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Ruth Lobo del OlmoFecha de defensa: 27/09/2017
5 Título del trabajo: Puesta en servicio del sistema de vídeo sobre IP en torre (VIPET)Tipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Sonia Martín CrespoFecha de defensa: 27/09/2017
0fd8f32479096078872da28d1bc9a743
17
6 Título del trabajo: Herramienta web de acceso a un repositorio con información sobre aplicaciones Big DataTipo de proyecto: Trabajo Fin de GradoEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Carlos Miquel GarridoFecha de defensa: 19/07/2017
7 Título del trabajo: Diseño y piloto de una plataforma para servicios cloud Data Center Virtual (VDC)Tipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Enrique Ladrón de Guevara HernándezFecha de defensa: 13/02/2017
8 Título del trabajo: Conservation of computational scientific environments for workflow-based experiments usingontologiesTipo de proyecto: Tesis DoctoralCodirector/a tesis: Óscar Corcho GarcíaEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Idafen Santana PérezCalificación obtenida: Sobresaliente Cum LaudeFecha de defensa: 22/01/2016Doctorado Europeo: SiMención de calidad: Si
9 Título del trabajo: Optimizing the reliability and resource efficiency of MapReduce-based systemsTipo de proyecto: Tesis DoctoralCodirector/a tesis: Gabriel AntoniuEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Bunjamin MemishiCalificación obtenida: SobresalienteFecha de defensa: 19/01/2016Doctorado Europeo: SiMención de calidad: Si
10 Título del trabajo: Aplicación de la metodología GloBeM al servicio de cloud HydraTipo de proyecto: Trabajo Fin de MásterEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Javier Ferrero MerchánFecha de defensa: 23/06/2015
11 Título del trabajo: Comunicaciones y control de nodos en un sistema de Grid ComputingTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Santiago Domínguez MartínFecha de defensa: 18/12/2014
0fd8f32479096078872da28d1bc9a743
18
12 Título del trabajo: Sistema de reparto y asignación de trabajos en una plataforma GridTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Álvaro Moreno AguileraFecha de defensa: 18/12/2014
13 Título del trabajo: Managing Consistency for Big Data Applications: Tradeoffs and Self-AdaptivenessTipo de proyecto: Tesis DoctoralCodirector/a tesis: Gabriel AntoniuEntidad de realización: ENS Cachan Tipo de entidad: UniversidadAlumno/a: Houssem Eddine ChihoubCalificación obtenida: Tres honorable grade (with higher honors)Fecha de defensa: 10/12/2013Doctorado Europeo: NoMención de calidad: No
14 Título del trabajo: Diseño de un servicio grid de acceso a datos con control de concurrencia de usuarioTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Carlos Andrés MartínezFecha de defensa: 01/03/2013
15 Título del trabajo: A Description Framework and Event-driven Architecture for the Semantic Web and SemanticGridTipo de proyecto: Tesis DoctoralCodirector/a tesis: Asunción Gómez PérezEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Rafael González CaberoCalificación obtenida: Sobresaliente Cum Laude por unanimidadFecha de defensa: 19/07/2012Doctorado Europeo: NoMención de calidad: Si Fecha de obtención: 19/07/2012
16 Título del trabajo: Implantación de un sistema de federación mediante Liberty en una compañía detelecomunicacionesTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Isaac Moreno NavarroFecha de defensa: 17/07/2012
17 Título del trabajo: Detección de contraseñas inseguras en entornos gridTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Pablo Fernández FernándezFecha de defensa: 17/11/2011
0fd8f32479096078872da28d1bc9a743
19
18 Título del trabajo: Metaplanificación semántica en entornos gridTipo de proyecto: Trabajo Fin de MásterEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Idafen Santana PérezFecha de defensa: 22/09/2010
19 Título del trabajo: Global Behavior Modeling: A New Approach to Grid Autonomic ManagementTipo de proyecto: Tesis DoctoralCodirector/a tesis: Alberto Sánchez CamposEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Jesús Montes SánchezCalificación obtenida: Sobresaliente Cum Laude por unanimidadFecha de defensa: 17/06/2010Doctorado Europeo: Si Fecha de mención: 17/06/2010Mención de calidad: Si Fecha de obtención: 17/06/2010
20 Título del trabajo: GEO-DSI: Mejoras en la transferencia multimedia para dispositivos móvilesTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Germán Ramos GarcíaFecha de defensa: 05/05/2010
21 Título del trabajo: Alfabetización digital de personas mayoresTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Cristina Moreno RuízFecha de defensa: 29/09/2009
22 Título del trabajo: Monitorización de fallos hardware e integración en una plataforma HP OpenviewTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Rosa María Silveira PuertasFecha de defensa: 29/09/2009
23 Título del trabajo: Solución integral del ciclo presupuestario de una empresa de retailTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Ricardo Martínez IdirínFecha de defensa: 28/09/2009
24 Título del trabajo: Interfaz Java para la biblioteca de algoritmos genéticos GAlibTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Rafael HIguera Cambronero
0fd8f32479096078872da28d1bc9a743
20
Fecha de defensa: 25/09/2009
25 Título del trabajo: Implantación de un CRM en una agencia de noticiasTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: José Darío Del Saz MascarayFecha de defensa: 24/09/2009
26 Título del trabajo: Prototipo de una posición de control de tráfico aéreo multiplataformaTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Elena Del Saz MascarayFecha de defensa: 24/09/2009
27 Título del trabajo: Diseño de un servicio en Alta Disponibilidad con Veritas Cluster ServerTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Óscar Delgado MartínezFecha de defensa: 28/07/2009
28 Título del trabajo: Implantación europea de Siebel SFA en multinacional del sector farmacéuticoTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Jorge Mendes JuradoFecha de defensa: 21/07/2009
29 Título del trabajo: Gestión CSTR DESKTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Nuria Domínguez NúñezFecha de defensa: 14/07/2009
30 Título del trabajo: Externalización de correo DominoTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Francisco Javier Martín SuenaFecha de defensa: 18/06/2009
31 Título del trabajo: Monitorización de un entorno heterogéneo de sistemas mediante NagiosTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Francisco Javier Martín TejerinaFecha de defensa: 18/05/2009
0fd8f32479096078872da28d1bc9a743
21
32 Título del trabajo: Desarrollo de una herramienta de pruebas de interfaz para protocolos en redes de móvilesTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Alejandro Corral HernándezFecha de defensa: 22/12/2008
33 Título del trabajo: Diseño e implementación de una nueva arquitectura para MAPFSTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Roberto Lema PaisFecha de defensa: 08/06/2008
34 Título del trabajo: Autonomic high performance storage for grid environments based on long term predictionCodirector/a tesis: Antonio Cortes RoselloEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Alberto Sánchez CamposCalificación obtenida: Sobresaliente Cum Laude por UnanimidadFecha de defensa: 29/05/2008Doctorado Europeo: Si Fecha de mención: 29/05/2008Mención de calidad: Si Fecha de obtención: 29/05/2008
35 Título del trabajo: FIRE is not a replication environmentTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Antón Molleda QuintanaFecha de defensa: 17/04/2008
36 Título del trabajo: Incorporación estadísticas web a sistemas NON STOPTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Paloma Ballesteros ValladaresFecha de defensa: 17/01/2008
37 Título del trabajo: Interfaz de almacenamiento de datos para MAPFSTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Francisco Javier Carmona GarcíaFecha de defensa: 16/01/2008
38 Título del trabajo: Diseño e implementación de un broker autonómo en un sistema de almacenamiento gridTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Pablo Coll LastrasFecha de defensa: 19/11/2007
0fd8f32479096078872da28d1bc9a743
22
39 Título del trabajo: Una arquitectura para proveer minería de datos en entornos gridTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Elisabet Gómez RuízFecha de defensa: 08/10/2007
40 Título del trabajo: GMonE, sistema de monitorización para entornos gridTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Eduardo Pérez SánchezFecha de defensa: 03/07/2007
41 Título del trabajo: Servicio grid de acceso paralelo a datos mediante C WS CoreTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Javier García NievaFecha de defensa: 25/04/2007
42 Título del trabajo: Centro de información sobre las participaciones de la empresaTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Carlos Gurdiel RoblesFecha de defensa: 10/10/2006
43 Título del trabajo: Creación de una empresa de comercio electrónicoTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Beatriz Castro PortaloFecha de defensa: 25/07/2006
44 Título del trabajo: Sistema autónomo basado en predicciones para el entorno MAPFS-GridTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Ramiro José Aparicio GallardoFecha de defensa: 29/05/2006
45 Título del trabajo: Diseño de un sistema de gestión integral de la función de comprasTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Antonio Vaca CastañónFecha de defensa: 10/05/2006
0fd8f32479096078872da28d1bc9a743
23
46 Título del trabajo: Biblioteca de algoritmos genéticos para clustering de datosTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: María Elena Fernández FernándezFecha de defensa: 27/03/2006
47 Título del trabajo: MAPFS-Grid: Sistema de ficheros paralelo para entornos de tipo gridTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Santiago Rodríguez PozoFecha de defensa: 01/03/2006
48 Título del trabajo: Servicio paralelo de acceso a datos en entornos gridTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Juan Manuel Calle RodríguezFecha de defensa: 30/11/2005
49 Título del trabajo: Sistema de gestión de datos de monitorización para entornos gridTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Jesús Montes SánchezFecha de defensa: 21/10/2005
50 Título del trabajo: Diseño e implementación de los grupos de almacenamiento en el sistema de ficheros MAPFSTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Alberto Sánchez CamposFecha de defensa: 10/11/2003
51 Título del trabajo: Implementación del servicio de tarificación de llamadas mediante el uso de CorbaTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Juana Esther Arellano GómezFecha de defensa: 17/12/2001
52 Título del trabajo: Aplicación de soporte para la metodología RCMTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: José María Pérez MenorFecha de defensa: 23/07/2001
0fd8f32479096078872da28d1bc9a743
24
53 Título del trabajo: Gestión y control de la operativa de un portal en InternetTipo de proyecto: Proyecto Final de CarreraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Alumno/a: Alberto Peña PachecoFecha de defensa: 04/07/2001
Cursos y seminarios impartidos orientados a la formación docente universitaria
1 Tipo de evento: SeminarioNombre del evento: Seminario Invitado en Máster Universitario en Ciencia y Tecnología Informática. Big data ycomputacion cloudEntidad organizadora: Universidad Carlos III de Madrid Tipo de entidad: UniversidadHoras impartidas: 4Fecha de impartición: 05/02/2013
2 Tipo de evento: SeminarioNombre del evento: Seminario Invitado en Computación en red y tecnología grid (Doctorado). Aplicación de lacomputación autónoma a entornos de data gridEntidad organizadora: Universidad Complutense de MadridHoras impartidas: 2Fecha de impartición: 23/11/2007
3 Tipo de evento: SeminarioNombre del evento: Seminario Programa Athens. Grid ComputingEntidad organizadora: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Horas impartidas: 30Fecha de impartición: 12/11/2005
4 Tipo de evento: Curso por InternetNombre del evento: Programación en Java y su aplicación a la WebEntidad organizadora: GATE - Gabinete de Tele-Educación de la UPMHoras impartidas: 70Fecha de impartición: 01/07/2005
5 Tipo de evento: Curso por InternetNombre del evento: Programación de aplicaciones web mediante ASPEntidad organizadora: GATE - Gabinete de Tele-Educación de la UPMHoras impartidas: 70Fecha de impartición: 01/03/2005
6 Tipo de evento: Curso por InternetNombre del evento: Programación en Java y su aplicación a la WebEntidad organizadora: GATE - Gabinete de Tele-Educación de la UPMHoras impartidas: 70Fecha de impartición: 01/03/2005
0fd8f32479096078872da28d1bc9a743
25
7 Tipo de evento: SeminarioNombre del evento: Seminario Programa Athens. Grid ComputingEntidad organizadora: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Horas impartidas: 20Fecha de impartición: 13/11/2004
8 Tipo de evento: SeminarioNombre del evento: Seminario Programa Athens. Heuristic Optimization TechniquesEntidad organizadora: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Horas impartidas: 30Fecha de impartición: 12/11/2004
9 Tipo de evento: Curso por InternetNombre del evento: Programación de aplicaciones web mediante ASPEntidad organizadora: GATE - Gabinete de Tele-Educación de la UPMHoras impartidas: 70Fecha de impartición: 04/10/2004
10 Tipo de evento: Curso por InternetNombre del evento: Programación en Java y su aplicación a la WebEntidad organizadora: GATE - Gabinete de Tele-Educación de la UPMHoras impartidas: 70Fecha de impartición: 04/10/2004
11 Tipo de evento: SeminarioNombre del evento: Seminario Invitado en Computación paralela basada en clusters (Doctorado). E/S de altorendimientoEntidad organizadora: Universidad Rey Juan Carlos Tipo de entidad: UniversidadHoras impartidas: 2Fecha de impartición: 08/06/2004
12 Tipo de evento: Curso por InternetNombre del evento: Programación en Java y su aplicación a la WebEntidad organizadora: GATE - Gabinete de Tele-Educación de la UPMHoras impartidas: 70Fecha de impartición: 03/05/2004
13 Tipo de evento: SeminarioNombre del evento: Seminario Programa Athens. Heuristic Optimization TechniquesEntidad organizadora: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Horas impartidas: 20Fecha de impartición: 13/03/2004
14 Tipo de evento: Curso por InternetNombre del evento: Programación en Java y su aplicación a la WebEntidad organizadora: GATE - Gabinete de Tele-Educación de la UPMHoras impartidas: 70Fecha de impartición: 02/02/2004
0fd8f32479096078872da28d1bc9a743
26
15 Tipo de evento: Curso por InternetNombre del evento: Programación de aplicaciones web mediante ASPEntidad organizadora: GATE - Gabinete de Tele-Educación de la UPMHoras impartidas: 70Fecha de impartición: 01/10/2003
16 Tipo de evento: SeminarioNombre del evento: Seminario en la Universidad Austral de Chile. E/S paralela. MPI-IO: Un caso de estudioEntidad organizadora: Facultad de Ciencias de laIngeniería, Universidad Austral de Chile
Tipo de entidad: Universidad
Horas impartidas: 15Fecha de impartición: 09/09/2003
17 Tipo de evento: Curso por InternetNombre del evento: Programación en Java y su aplicación a la WebEntidad organizadora: GATE - Gabinete de Tele-Educación de la UPMHoras impartidas: 70Fecha de impartición: 05/05/2003
18 Tipo de evento: Curso por InternetNombre del evento: Programación de aplicaciones web mediante ASPEntidad organizadora: GATE - Gabinete de Tele-Educación de la UPMHoras impartidas: 70Fecha de impartición: 03/02/2003
19 Tipo de evento: Curso por InternetNombre del evento: Programación de aplicaciones web mediante ASPEntidad organizadora: GATE - Gabinete de Tele-Educación de la UPMHoras impartidas: 70Fecha de impartición: 01/10/2002
20 Tipo de evento: Curso por InternetNombre del evento: Programación en Java y su aplicación a la WebEntidad organizadora: GATE - Gabinete de Tele-Educación de la UPMHoras impartidas: 70Fecha de impartición: 01/10/2002
21 Tipo de evento: Curso por InternetNombre del evento: Programación de aplicaciones web mediante ASPEntidad organizadora: GATE - Gabinete de Tele-Educación de la UPMHoras impartidas: 70Fecha de impartición: 03/05/2002
22 Tipo de evento: SeminarioNombre del evento: Seminario Programa Athens. Distributed and Parallel Programming: Techniques, tools andlanguages.Entidad organizadora: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Horas impartidas: 20Fecha de impartición: 18/03/2002
0fd8f32479096078872da28d1bc9a743
27
Publicaciones docentes o de carácter pedagógico, libros, artículos, etc.
1 Jesús Carretero Pérez; Antonio García Dopico; Félix García Carballeira; Fernando Pérez Costoya; María delos Santos Pérez Hernández; Santiago Rodríguez de la Fuente; Francisco Rosales García. Descubre Internet.Prentice-Hall (Pearson Education), 2001. ISBN 84-205-3103-0Nombre del material: Libro de textoTipo de soporte: LibroAutor de correspondencia: NoJustificación del material: Tirada primera edición: 2000 ejemplares, segunda edición: 1000 ejemplares
2 Pedro de Miguel Anasagasti; Víctor Robles Forcada; Francisco Rosales García; Francisco Sánchez Moreno;María de los Santos Pérez Hernández; Ángel Rodríguez Martínez de Bartolomé; Antonio Ruiz Mayor. Problemasde Sistemas Operativos. Fundación General de la Universidad Politécnica de Madrid, 2014. ISBN 84-96244-23-7Nombre del material: Libro de textoTipo de soporte: LibroAutor de correspondencia: NoJustificación del material: Tirada primera edición: 300 ejemplares
3 Santiago Rodríguez de la Fuente; Fernando Pérez Costoya; Jesús Carretero Pérez; Félix García Carballeira;Antonio García Dopico; David Nevado Martín; María de los Santos Pérez Hernández; Víctor Robles Forcada;Francisco Rosales García. Programación de Aplicaciones Web. Paraninfo, 2003. ISBN 84-9732-181-2Nombre del material: Libro de textoTipo de soporte: LibroAutor de correspondencia: NoJustificación del material: Tirada primera edición: 2000 ejemplares, segunda edición: 1000 ejemplares
4 José María Peña Sánchez; Fernando Pérez Costoya; María de los Santos Pérez Hernández; Víctor RoblesForcada. Computación Distribuida: Fundamentos y Aplicaciones (Autor: M. L. Liu).. Pearson. Addison Wesley,2004. ISBN 84-7829-066-4Nombre del material: Traducción de libro docenteTipo de soporte: LibroJustificación del material: Traducción y revisión técnica
5 José María Peña Sánchez; Fernando Pérez Costoya; María de los Santos Pérez Hernández; Víctor RoblesForcada; Francisco Rosales García. Sistemas Operativos: Aspectos internos y principios de diseño. QuintaEdición (Autor: W. Stallings).. Pearson. Prentice Hall, 2005. ISBN 9788420544625Nombre del material: Traducción de libro docenteTipo de soporte: LibroJustificación del material: Traducción y revisión técnica
Participación en proyectos de innovación docente
1 Título del proyecto: Proyecto de Inicio para alumnos de nuevo ingresoTipo de participación: Tutora de grupo de alumnosTipo duración relación laboral: Por tiempo determinadoNombre del investigador/a principal (IP): Ricardo Imbert ParedesEntidad financiadora: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de inicio-fin: 2012 - 2016 Duración: 4 años
0fd8f32479096078872da28d1bc9a743
28
2 Título del proyecto: Proyecto de Centro de la ETSIInfTipo de participación: Miembro de equipoTipo duración relación laboral: Por tiempo determinadoNombre del investigador/a principal (IP): Ricardo Imbert ParedesEntidad financiadora: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de inicio-fin: 09/2014 - 09/2015 Duración: 1 año
3 Título del proyecto: Try IT!: Plan de Orientación laboral de la ETSIInfTipo de participación: Miembro de equipoTipo duración relación laboral: Por tiempo determinadoNombre del investigador/a principal (IP): María Luisa Córdoba CabezaEntidad financiadora: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de inicio-fin: 09/2014 - 09/2015 Duración: 1 año
4 Título del proyecto: El índice de permanencia como criterio de calidad y propuestas del GIE GIDACSO pararebajar las tasas de abandono en las Titulaciones de Grado de la UPMTipo de participación: Miembro de equipoTipo duración relación laboral: Por tiempo determinadoNombre del investigador/a principal (IP): María Luisa Córdoba CabezaEntidad financiadora: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de inicio-fin: 11/2012 - 11/2014 Duración: 2 años
5 Título del proyecto: Metodologías de seguimiento y tutorización de las prácticas externas e inserción laboralmediante sistemas de orientación al empleo y al emprendimientoTipo de participación: Miembro de equipoTipo duración relación laboral: Por tiempo determinadoNombre del investigador/a principal (IP): María Luisa Córdoba CabezaEntidad financiadora: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de inicio-fin: 11/2012 - 11/2014 Duración: 2 años
6 Título del proyecto: Proyecto de la Facultad de Informática 2012: Comunicación, movilidad, recursos educativos,prácticas externas e inserción laboralTipo de participación: Miembro de equipoTipo duración relación laboral: Por tiempo determinadoNombre del investigador/a principal (IP): Ricardo Imbert ParedesEntidad financiadora: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de inicio-fin: 11/2012 - 11/2014 Duración: 2 años
7 Título del proyecto: Plan de acción de mejora en asignaturas del area de Arquitectura y Tecnología deComputadoresTipo de participación: Miembro de equipoTipo duración relación laboral: Por tiempo determinadoNombre del investigador/a principal (IP): María Luisa Córdoba CabezaEntidad financiadora: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de inicio-fin: 10/2011 - 09/2012 Duración: 11 meses
0fd8f32479096078872da28d1bc9a743
29
8 Título del proyecto: Sistema de información para captación y orientación al alumno UPM: Grado y PosgradoTipo de participación: Miembro de equipoTipo duración relación laboral: Por tiempo determinadoNombre del investigador/a principal (IP): María Luisa Córdoba CabezaEntidad financiadora: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de inicio-fin: 10/2011 - 09/2012 Duración: 11 meses
9 Título del proyecto: Sistema de representación de información a través de interfaz interactiva para ladinamización de eventos de orientación universitaria utilizando KinectTipo de participación: Miembro de equipoTipo duración relación laboral: Por tiempo determinadoNombre del investigador/a principal (IP): María Luisa Córdoba CabezaImporte concedido: 5.900 €Entidad financiadora: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de inicio-fin: 10/2011 - 09/2012 Duración: 11 meses
10 Título del proyecto: Realización de prácticas remotas colaborativas con el observatorio astronómico robotizadode Montegancedo. Aplicación a las asignaturas Astronomía Geodésica, Visión por computador y Diseño deAplicaciones Web.Tipo de participación: Miembro de equipoTipo duración relación laboral: Por tiempo determinadoNombre del investigador/a principal (IP): Francisco Sánchez MorenoImporte concedido: 14.400 €Entidad financiadora: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de inicio-fin: 09/2008 - 09/2010 Duración: 2 años
11 Título del proyecto: Asimilación Interactiva de Conceptos en Sistemas Operativos (AICSO)Tipo de participación: Miembro de equipoTipo duración relación laboral: Por tiempo determinadoNombre del investigador/a principal (IP): Pedro de Miguel AnasagastiImporte concedido: 3.000 €Entidad financiadora: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de inicio-fin: 11/2005 - 09/2007 Duración: 10 meses
12 Título del proyecto: Proyecto de colaboración doctoral entre la Facultad de Informática y el Instituto deInformática de la Universidad Austral de Chile AL2004-1001-2.56Tipo de participación: CoordinadorTipo duración relación laboral: Por tiempo determinadoImporte concedido: 4.200 €Entidad financiadora: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Entidad/es participante/s:Facultad de Informática, UPM Tipo de entidad: Universidad
Instituto de Informática de la Universidad Austral deChile
Tipo de entidad: Universidad
Fecha de inicio-fin: 16/02/2004 - 31/12/2004 Duración: 10 meses - 13 días
0fd8f32479096078872da28d1bc9a743
30
Otras actividades/méritos no incluidos en la relación anterior
1 Descripción de la actividad: Reconocimiento de tres periodos de docencia (quinquenios)Entidad organizadora: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de finalización: 11/07/2014
2 Descripción de la actividad: Informe MUY FAVORABLE en el ámbito del programa DOCENTIA de la ANECAentre los años 2007-2012Entidad organizadora: Agencia Nacional de Evaluaciónde la Calidad y Acreditación
Tipo de entidad: Agencia nacional
Fecha de finalización: 2012
3 Descripción de la actividad: Convenio de Cooperación Educativa con Telefónica Soluciones Informáticas yComunicaciones de España S.A.U. Proyecto U031001386DEntidad organizadora: Telefónica SolucionesInformáticas y Comunicaciones de España S.A.U.
Tipo de entidad: Entidad Empresarial
Fecha de finalización: 11/2005
4 Descripción de la actividad: Convenio de Cooperación Educativa con Telefónica Soluciones Informáticas yComunicaciones de España S.A.U. Proyecto U011001046BEntidad organizadora: Telefónica SolucionesInformáticas y Comunicaciones de España S.A.U.
Tipo de entidad: Entidad Empresarial
Fecha de finalización: 10/2004
Experiencia científica y tecnológica
Grupos/equipos de investigación, desarrollo o innovación
1 Nombre del grupo: Centro tecnológico mixto Accenture-UPM AI.nnovation SpaceObjeto del grupo: Grupo tecnológico mixto Accenture-UPM AI.nnovation SpaceNombre del investigador/a principal (IP): Javier Bajo PérezEntidad de afiliación: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de inicio: 10/2017
2 Nombre del grupo: Big Data Value Association (BDVA)Objeto del grupo: Asociación europea sobre Big Data. Representante de la UPM en la asociación.Nombre del investigador/a principal (IP): Laure Le BarsFecha de inicio: 01/2017
3 Nombre del grupo: The Networked European Software and Services Initiative (NESSI)Objeto del grupo: Grupo europeo sobre software y servicios. Representante de la UPM.Nombre del investigador/a principal (IP): Colin UpstillFecha de inicio: 01/2017
0fd8f32479096078872da28d1bc9a743
31
4 Nombre del grupo: Center for Open Middleware (COM)Objeto del grupo: Grupo tecnológico mixto UPM-Grupo SantanderNombre del investigador/a principal (IP): Juan Carlos Dueñas LópezEntidad de afiliación: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de inicio: 12/2011 Duración: 3 años - 4 meses
5 Nombre del grupo: Ontological Engineering Group (OEG)Objeto del grupo: Grupo de Investigación reconocido por la UPMNombre del investigador/a principal (IP): AsunciónGómez Pérez
Nº de componentes grupo: 37
Entidad de afiliación: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de inicio: 01/2009
6 Nombre del grupo: Red española de e-CienciaObjeto del grupo: Organización coordinadora e impulsora de la e-Ciencia en España. Experta en el comité demiddleware de la red.Nombre del investigador/a principal (IP): Vicente HernándezFecha de inicio: 06/2008 Duración: 2 años - 6 meses
7 Nombre del grupo: Grupo de gestión e innovacion docente en el área de computadores y sistemas operativos(GIDACSO)Objeto del grupo: Grupo de innovación docente de la UPMNombre del investigador/a principal (IP): María Luisa Córdoba CabezaEntidad de afiliación: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de inicio: 07/2006
8 Nombre del grupo: Red española de minería de datos y aprendizajeObjeto del grupo: Colaboración de grupos relacionados con la minería de datos y el aprendizaje automáticoFecha de inicio: 2004 Duración: 4 años
9 Nombre del grupo: Data Mining Engineering (DaME)Objeto del grupo: Grupo de Investigación reconocido por la UPMNombre del investigador/a principal (IP): JavierSegovia Pérez
Nº de componentes grupo: 14
Entidad de afiliación: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de inicio: 2004 Duración: 4 años
0fd8f32479096078872da28d1bc9a743
32
Actividad científica o tecnológica
Proyectos de I+D+i financiados en convocatorias competitivas de Administraciones oentidades públicas y privadas
1 Nombre del proyecto: DATOS 4.0: Retos y soluciones - UPMÁmbito geográfico: NacionalGrado de contribución: Investigador/aEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Nombres investigadores principales (IP, Co-IP,...): Asunción Gómez PérezEntidad/es financiadora/s:Ministerio de Economía, Industria y Competitividad
Tipo de participación: Miembro de equipoNombre del programa: Programa Retos de la Sociedad, convocatoria 2016Cód. según financiadora: TIN2016-78011-C4-4-RFecha de inicio-fin: 12/2016 - 12/2020Entidad/es participante/s: Universidad Politécnica de Madrid; Universidad de A Coruña; Universidad deZaragoza; Universidad del Pais VascoCuantía total: 192.400 €
2 Nombre del proyecto: Ayuda de la Comunidad de Madrid para la realización de contratos para ayudantesde investigaciónGrado de contribución: TutoraEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Nombres investigadores principales (IP, Co-IP,...): María de los Santos Pérez HernándezEntidad/es financiadora/s:Comunidad de Madrid Tipo de entidad: Organismo, Otros
Nombre del programa: Ayuda de la Comunidad de Madrid para la realización de contratos para ayudantesde investigaciónFecha de inicio-fin: 01/2017 - 12/2018
3 Nombre del proyecto: BigStorage: Storage-based Convergence between HPC and Cloud to handle BigDataÁmbito geográfico: Unión EuropeaGrado de contribución: Investigador/aEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Nombres investigadores principales (IP, Co-IP,...): María de los Santos Pérez HernándezEntidad/es financiadora/s:European Commission
Tipo de participación: Investigador principalNombre del programa: European Commission, H2020-MSCA-ITN-2014Cód. según financiadora: ITN-642963Fecha de inicio-fin: 01/2015 - 12/2018
0fd8f32479096078872da28d1bc9a743
33
Entidad/es participante/s: Barcelona Supercomputing Center; CA Technologies; Commissariat al EnergieAtomique et Aux Energies Alternatives; Deutsches Klimarechenzentrum GMBH; Foundation for Researchand Technology; Fujitsu; INRIA; Seagate; Universidad Politécnica de Madrid; University of MainzCuantía total: 3.803.407,92 €Aportación del solicitante: IP
4 Nombre del proyecto: Ayudas para el Fomento de Iniciativas de Investigación en la UPM (InvestigaciónEuropea)Grado de contribución: Investigador/aEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Nombres investigadores principales (IP, Co-IP,...): María de los Santos Pérez HernándezEntidad/es financiadora/s:Universidad Politécnica de Madrid Tipo de entidad: UniversidadCiudad entidad financiadora: Madrid, Comunidad de Madrid, España
Tipo de participación: Investigador principalNombre del programa: Ayudas para el Fomento de Iniciativas de Investigación en la UPMFecha de inicio-fin: 01/2016 - 12/2016
5 Nombre del proyecto: 4V: volumen, velocidad, variedad y validez en la gestión innovadora de datosÁmbito geográfico: NacionalGrado de contribución: Investigador/aEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Nombres investigadores principales (IP, Co-IP,...): Asunción Gómez PérezEntidad/es financiadora/s:Ministerio de Economía, Industria y Competitividad
Tipo de participación: Miembro de equipoNombre del programa: Programa Estatal de Investigación, Desarrollo e Innovación Orientada a los Retosde la SociedadCód. según financiadora: TIN2013-46238-C4-2-RFecha de inicio-fin: 01/2014 - 12/2016Entidad/es participante/s: Universidad Politécnica de Madrid; Universidad de A Coruña; Universidad deZaragoza; Universidad del Pais VascoCuantía total: 286.702,24 €
6 Nombre del proyecto: myBigData: Métodos, técnicas y herramientas basados en semántica para darsoporte a la e-Ciencia centrada en los datosÁmbito geográfico: NacionalGrado de contribución: Investigador/aEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Nombres investigadores principales (IP, Co-IP,...): Óscar Corcho GarcíaEntidad/es financiadora/s:Ministerio de Ciencia e Innovación Tipo de entidad: Organismo, Otros
Tipo de participación: Miembro de equipoNombre del programa: Convocatoria de Proyectos de Investigación Fundamental (TIN)Cód. según financiadora: TIN2010-17060Fecha de inicio-fin: 01/2011 - 12/2013Cuantía total: 19.481 €
0fd8f32479096078872da28d1bc9a743
34
7 Nombre del proyecto: SCALUS (SCAling by means of Ubiquituous Storage)Ámbito geográfico: Unión EuropeaGrado de contribución: Investigador/aEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Nombres investigadores principales (IP, Co-IP,...): María de los Santos Pérez HernándezEntidad/es financiadora/s:European Commission
Tipo de participación: Investigador principalNombre del programa: European Commission, Marie Curie Action Initial Training Networks.FP7-PEOPLE-ITN-2008Cód. según financiadora: ITN-238808Fecha de inicio-fin: 12/2009 - 12/2013Entidad/es participante/s: Barcelona Supercomputing Center; CERN; Durham University; Ecoles desMines; Foundation for Research and Technology; Fujitsu Siemens Computers; INRIA; Microsoft ResearchLtd.; NEC Deutschland GmBH; Oracle; Ruprecht-Karls-Universitat Heidelberg; Universidad Politécnica deMadrid; Universitat Bielefeld; University of Paderborn; XLAB Research; XyratexCuantía total: 3.298.114 €Aportación del solicitante: IP
8 Nombre del proyecto: ASTROMADRID: Astrofísica y Desarrollos Tecnológicos en la Comunidad deMadridÁmbito geográfico: AutonómicaEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Nombres investigadores principales (IP, Co-IP,...): Francisco M. Sánchez MorenoEntidad/es financiadora/s:Comunidad de Madrid Tipo de entidad: Organismo, Otros
Nombre del programa: Ayudas para la realización de programas de actividades de I+D entre grupos deinvestigación de la Comunidad de MadridCód. según financiadora: S2009ESP-1496Fecha de inicio-fin: 2010 - 2013Entidad/es participante/s: Plataforma Solar de Almeria; Centro de Astrobiologa (INTA-CSIC); UniversidadAutónoma de Madrid; Universidad Complutense de Madrid; Universidad Politécnica de Madrid; Universidadde Alcalá
9 Nombre del proyecto: España VirtualÁmbito geográfico: NacionalGrado de contribución: Investigador/aEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Nombres investigadores principales (IP, Co-IP,...): Asunción Gómez PérezEntidad/es financiadora/s:Ministerio de Economía y Competitividad. CDTI (Centro para el Desarrollo Tecnológico Industrial)
Tipo de participación: Miembro de equipoNombre del programa: CENITCód. según financiadora: CENIT 2008-1030Fecha de inicio-fin: 09/2008 - 12/2011Entidad/es participante/s: Androme Iberica, S.L.; Animante Baleares; Barcelona Media; Bot Consulting;Centro Nacional de Información Geográfi ca; Deimos Space S.L.; Dnextep Consulting, S.L.; ELIMCO
0fd8f32479096078872da28d1bc9a743
35
Sistemas; GeoSpatiumLab, S.L.; GeoVirtual, S.L.; Grid Systems, S.A.; IVER; Indra Espacio, S.A.; SIGRID;Solucions on Hand; Universidad Nacional de Educación a Distancia; Universidad Carlos III de Madrid;Universidad Jaume I; Universidad Politecnica de Catalunya; Universidad Politécnica de Madrid; Universidadde Málaga; Universidad de Valladolid; Universidad de Zaragoza; Universitat de les Illes BalearsCuantía total: 25.192.648 €
10 Nombre del proyecto: SemSorGrid4EnvÁmbito geográfico: Unión EuropeaGrado de contribución: Investigador/aEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Nombres investigadores principales (IP, Co-IP,...): Asunción Gómez PérezEntidad/es financiadora/s:European Commission
Tipo de participación: Miembro de equipoNombre del programa: European Commission, Framework VII. DG INFSO H.4 ICT for Sustainable GrowthCód. según financiadora: FP7-ICT-223913Fecha de inicio-fin: 09/2008 - 08/2011Entidad/es participante/s: Deimos Space S.L.; Emu Ltd.; National and Kapodistrian University of Athens;TechIdeas; Universidad Politécnica de Madrid; University of Manchester; University of SouthamptonCuantía total: 4.364.836 €
11 Nombre del proyecto: GEOBUDDIES: Anotación semantica colaborativa con dispositivos móviles en elcamino de SantiagoÁmbito geográfico: NacionalGrado de contribución: Investigador/aEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Nombres investigadores principales (IP, Co-IP,...): Asunción Gómez PérezEntidad/es financiadora/s:Ministerio de Ciencia y Tecnología
Tipo de participación: Miembro de equipoCód. según financiadora: TSI2007-65677-C02-01Fecha de inicio-fin: 10/2007 - 09/2010Entidad/es participante/s: Instituto Geográfico Nacional; Universidad Politécnica de Madrid; Universidadde Santiago de CompostelaCuantía total: 151.250 €
12 Nombre del proyecto: Laboratorio de Computación de Altas PrestacionesGrado de contribución: Coordinador/a científico/aEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Nombres investigadores principales (IP, Co-IP,...): María de los Santos Pérez HernándezEntidad/es financiadora/s:Comunidad de Madrid Tipo de entidad: Organismo, Otros
Tipo de participación: Investigador principalNombre del programa: Ayuda para apoyar las infraestructuras de laboratorios de investigación en virtuddel programa de infraestructura y gestión de calidad del contrato-programa I+D entre la CM y la UPMCód. según financiadora: M0700204224Fecha de inicio-fin: 01/01/2007 - 21/12/2007Cuantía total: 17.000 €
0fd8f32479096078872da28d1bc9a743
36
13 Nombre del proyecto: Optimización de Altas Prestaciones. Aplicaciones Científico/IndustrialesGrado de contribución: Investigador/aEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Nombres investigadores principales (IP, Co-IP,...): Víctor Robles ForcadaNº de investigadores/as: 7Entidad/es financiadora/s:Comunidad de Madrid Tipo de entidad: Organismo, Otros
Tipo de participación: Miembro de equipoNombre del programa: Ayudas de la CM para apoyar las líneas de I+D en el programa de creación yconsolidación de Grupos de Investigación de la UPMFecha de inicio-fin: 01/01/2007 - 21/12/2007Cuantía total: 22.113 €
14 Nombre del proyecto: OntoGrid: Paving the way for Knowledgeable Grid Services and SystemsÁmbito geográfico: Unión EuropeaGrado de contribución: Investigador/aEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Nombres investigadores principales (IP, Co-IP,...): Asunción Gómez PérezEntidad/es financiadora/s:European Commission
Tipo de participación: Miembro de equipoNombre del programa: European Commission, Framework VI. IST GRID-based Systems for solvingcomplex problemsCód. según financiadora: FP6-511513Fecha de inicio-fin: 09/2004 - 09/2007Entidad/es participante/s: Acklin BV; Boyd International B.V.; Deimos Space S.L.; Intelligent SoftwareComponents S.A.; Technical University of Crete; Universidad Politécnica de Madrid; University of Liverpool;University of ManchesterCuantía total: 2.638.939,53 €
15 Nombre del proyecto: Red tematica para la coordinacion de actividades middleware en grids. AcciónComplementaria 2006-2007Ámbito geográfico: NacionalGrado de contribución: Investigador/aNombres investigadores principales (IP, Co-IP,...): Ignacio Martín LlorenteTipo de participación: Miembro de equipoNombre del programa: Acciones Complementarias del Programa Nacional de Tecnologías InformáticasCód. según financiadora: TIN2005-25849-EFecha de inicio-fin: 2006 - 2007
16 Nombre del proyecto: MAPFS-Grid: Un sistema multiagente y autónomo de E/S para un entorno grid dealtas prestacionesEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Nombres investigadores principales (IP, Co-IP,...): María de los Santos Pérez HernándezNº de investigadores/as: 3Entidad/es financiadora/s:Universidad Politécnica de Madrid Tipo de entidad: Universidad
0fd8f32479096078872da28d1bc9a743
37
Tipo de participación: Investigador principalNombre del programa: Proyectos de investigación y desarrollo de grupos potencialmente competitivosCód. según financiadora: A0413Fecha de inicio-fin: 07/2004 - 01/2006Cuantía total: 11.299,36 €Aportación del solicitante: IP
17 Nombre del proyecto: Red tematica para la coordinacion de actividades middleware en grids. AccionEspecial 2004-2006Ámbito geográfico: NacionalGrado de contribución: Investigador/aNombres investigadores principales (IP, Co-IP,...): Ignacio Martín LlorenteTipo de participación: Miembro de equipoNombre del programa: Acciones Especiales del Programa Nacional de Tecnologías InformáticasCód. según financiadora: TIC2002-12422-EFecha de inicio-fin: 2004 - 2006
18 Nombre del proyecto: Técnicas de Tolerancia a Fallos en Sistemas de Ficheros Paralelos con aplicación asistemas de Data MiningÁmbito geográfico: AutonómicaGrado de contribución: Investigador/aEntidad de realización: Universidad Carlos III deMadrid
Tipo de entidad: Universidad
Nombres investigadores principales (IP, Co-IP,...): Félix García CarballeiraEntidad/es financiadora/s:Comunidad Autónoma de Madrid
Tipo de participación: Miembro de equipoCód. según financiadora: 07T/0020/2003Fecha de inicio-fin: 10/2003 - 10/2004Entidad/es participante/s: Universidad Carlos III de Madrid; Universidad Politécnica de MadridCuantía total: 22.735,56 €
19 Nombre del proyecto: Sistema de ficheros paralelo basado en servidores NFSÁmbito geográfico: AutonómicaGrado de contribución: Investigador/aEntidad de realización: Universidad Carlos III deMadrid
Tipo de entidad: Universidad
Nombres investigadores principales (IP, Co-IP,...): Félix García CarballeiraNº de investigadores/as: 3Entidad/es financiadora/s:Comunidad Autónoma de Madrid
Tipo de participación: Miembro de equipoCód. según financiadora: 07T/0013/2001Fecha de inicio-fin: 01/2002 - 12/2002Entidad/es participante/s: Universidad Carlos III de Madrid; Universidad Politécnica de MadridCuantía total: 13.132,11 €
20 Nombre del proyecto: Metodologías para la resolución de problemas de procesamiento de imagen de grancoste computacional mediante hardware específico y computadores paralelos de propósito generalÁmbito geográfico: Nacional
0fd8f32479096078872da28d1bc9a743
38
Grado de contribución: Investigador/aEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Nombres investigadores principales (IP, Co-IP,...): Antonio Pérez AmbiteNº de investigadores/as: 12Entidad/es financiadora/s:Ministerio de Ciencia y Tecnología
Tipo de participación: Miembro de equipoNombre del programa: CICYTCód. según financiadora: CICYT-TIC99-0474-C02-02Fecha de inicio-fin: 07/1999 - 07/2002Entidad/es participante/s: Oficina española de patentes y marcas; Universidad Politécnica de Madrid;Universidad Rey Juan CarlosCuantía total: 68.800 €
21 Nombre del proyecto: Reliability Centred Maintenance (RCM) Approach for Infrastructure and Logistics ofRailway OperationÁmbito geográfico: Unión EuropeaGrado de contribución: Investigador/aEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Nombres investigadores principales (IP, Co-IP,...): Jesús Carretero PérezEntidad/es financiadora/s:European Commission
Tipo de participación: Miembro de equipoNombre del programa: European Commission, Framework 5 Programme GrowthCód. según financiadora: 2000-RD.10810Fecha de inicio-fin: 06/1999 - 06/2002Entidad/es participante/s: ADEPA; Baas & Roost; Deutsche Bahn AG; Enterprise Ireland;Forschungsinstitut fur Rationalisierung (FIR); Iarnrod Eireann - Train Services in Ireland; NederlandseSpoorwegen; RENFE; Universidad Politécnica de MadridCuantía total: 3.272.933 €
Contratos, convenios o proyectos de I+D+i no competitivos con Administraciones o entidadespúblicas o privadas
1 Nombre del proyecto: ALMOSS: Application Lifecycle Management Open SourceGrado de contribución: Investigador/aNombres investigadores principales (IP, Co-IP,...): Asunción Gómez PérezEntidad/es financiadora/s:BANCO SANTANDER, S.A.Ciudad entidad financiadora: España
Fecha de inicio: 01/04/2012 Duración: 15 meses
2 Nombre del proyecto: Convenio específico entre Telefónica Investigación y Desarrollo S.A. Unipersonaly la Universidad Politécnica de Madrid para la realización del trabajo de Investigación y ColaboraciónCientífica "Optimización de la implementación del ORB C++ de Telefónica I+D" (P061001513)Grado de contribución: Coordinador/a científico/aNombres investigadores principales (IP, Co-IP,...): María de los Santos Pérez Hernández
0fd8f32479096078872da28d1bc9a743
39
Entidad/es financiadora/s:Telefónica I+D Tipo de entidad: Entidad Empresarial
Fecha de inicio: 10/2006
3 Nombre del proyecto: Adjudicación óptimaGrado de contribución: Investigador/aNombres investigadores principales (IP, Co-IP,...): Ernestina Menasalvas RuízEntidad/es financiadora/s:Forum Filatélico Tipo de entidad: Entidad Empresarial
Fecha de inicio: 01/05/2005 Duración: 12 mesesCuantía total: 20.000 €
4 Nombre del proyecto: Desarrollo de un sistema de información ornitológica españolGrado de contribución: Investigador/aEntidad/es financiadora/s:ONG SEO/BirdLife Tipo de entidad: ONG
Fecha de inicio: 01/07/2004 Duración: 14 meses
5 Nombre del proyecto: Argos: Sistema de análisis del comportamiento del conductor (segunda y tercerafase)Grado de contribución: Investigador/aNombres investigadores principales (IP, Co-IP,...): María Isabel García ClementeEntidad/es participante/s: Dirección General de Tráfico; Universidad Politécnica de MadridEntidad/es financiadora/s:Dirección General de Tráfico Tipo de entidad: Organismo, OtrosCiudad entidad financiadora: Madrid, Comunidad de Madrid, España
Fecha de inicio: 01/01/1996 Duración: 3 años
Resultados
Propiedad industrial e intelectual
Título propiedad industrial registrada: Geo-DSIInventores/autores/obtentores: Germán Ramos García; María de los Santos Pérez HernándezEntidad titular de derechos: Universidad Politécnica de MadridNº de solicitud: M-20692011 y M-20732011País de inscripción: España, Comunidad de MadridFecha de registro: 08/03/2011
0fd8f32479096078872da28d1bc9a743
40
Actividades científicas y tecnológicas
Producción científica
Índice H: 19
Publicaciones, documentos científicos y técnicos
1 Pierre Matri; Yevhen Alforov; Álvaro Brandón; María S. Pérez; Alexandru Costan; Gabriel Antoniu; Michael Kuhn;Philip Carns; Thomas Ludwig. Mission Possible: Unify HPC and Big Data Stacks Towards Application-DefinedBlobs at the Storage Layer. Future Generation Computer Systems. Elsevier, 2019. ISSN 0167-739XTipo de producción: Artículo científico Tipo de soporte: RevistaAutor de correspondencia: NoFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
THEORY & METHODSÍndice de impacto: 4,639 Revista dentro del 25%: SiPosición de publicación: 7 Num. revistas en cat.: 103
2 Alvaro Brandon; María S. Pérez; Jesús Montes; Alberto Sánchez. FMonE: A flexible monitoring solution at theedge. Wireless Communications and Mobile Computing. 2018, Hindawi, 20/11/2018. ISSN 1530-8677DOI: 10.1155/2018/2068278Tipo de producción: Artículo científico Tipo de soporte: RevistaAutor de correspondencia: NoFuente de impacto: WOS (JCR) Categoría: Information SystemsÍndice de impacto: 0,869
3 Pierre Matri; María S. Pérez; Alexandru Costan; Luc Bouge; Gabriel Antoniu. Keeping up with Storage:Decentralized, Write-Enabled Dynamic Geo-Replication. Future Generation Computer Systems. 86, pp. 1093 -1105. Elsevier, 09/2018. ISSN 0167-739XDOI: 10.1016/j.future.2017.06.009Tipo de producción: Artículo científico Tipo de soporte: RevistaFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
THEORY & METHODSÍndice de impacto: 4,639 Revista dentro del 25%: SiPosición de publicación: 7 Num. revistas en cat.: 103
4 Alvaro Brandon; María S. Pérez; Smrati Gupta; Víctor Muntés-Mulero. Using Machine Learning to OptimizeParallelism in Big Data Applications. Future Generation Computer Systems. 86, pp. 1076 - 1092. Elsevier,09/2018. ISSN 0167-739XDOI: 10.1016/j.future.2017.07.003Tipo de producción: Artículo científico Tipo de soporte: RevistaFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
THEORY & METHODSÍndice de impacto: 4,639 Revista dentro del 25%: Si
0fd8f32479096078872da28d1bc9a743
41
Posición de publicación: 7 Num. revistas en cat.: 103
5 Bunjamin Memishi; María S. Pérez; Gabriel Antoniu. Failure detector abstractions for MapReduce-based systems.Information Sciences. 379, pp. 112 - 127. Elsevier, 02/2017.DOI: 10.1016/j.ins.2016.08.013Tipo de producción: Artículo científico Tipo de soporte: RevistaFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
INFORMATION SYSTEMSÍndice de impacto: 4,305 Revista dentro del 25%: SiPosición de publicación: 12 Num. revistas en cat.: 148
6 Idafen Santana; Rafael Ferreira da Silva; Mats Rynge; Ewa Deelman; María S. Pérez; Oscar Corcho.Reproducibility of Execution Environments in Computational Science Using Semantics and Clouds. FutureGeneration Computer Systems. 67, pp. 354 - 367. Elsevier, 02/2017. ISSN 0167-739XDOI: 10.1016/j.future.2015.12.017Tipo de producción: Artículo científico Tipo de soporte: RevistaFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
THEORY & METHODSÍndice de impacto: 4,639 Revista dentro del 25%: SiPosición de publicación: 7 Num. revistas en cat.: 103
7 Bunjamin Memishi; María S. Pérez; Gabriel Antoniu. Feedback-based resource allocation in MapReduce-basedsystems. Scientific Programming. Hindawi Publishing Corporation, 04/2016.DOI: 10.1155/2016/7241928Tipo de producción: Artículo científico Tipo de soporte: RevistaFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
SOFTWARE ENGINEERINGÍndice de impacto: 0,627Posición de publicación: 95 Num. revistas en cat.: 106
8 Idafen Santana; María S. Pérez. Towards Reproducibility in Scientific Workflows: An infrastructure-basedapproach. Scientific Programming. Hindawi Publishing Corporation, 02/2015.DOI: 10.1155/2015/243180Tipo de producción: Artículo científico Tipo de soporte: RevistaFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
SOFTWARE ENGINEERINGÍndice de impacto: 0,455 Revista dentro del 25%: NoPosición de publicación: 95 Num. revistas en cat.: 106
9 Jesús Montes; Alberto Sánchez; Bunjamin Memishi; María S. Pérez; Gabriel Antoniu. GMonE: a completeapproach to cloud monitoring. Future Generation Computer Systems. 29 - 8, pp. 2026 - 2040. Elsevier, 10/2013.ISSN 0167-739XDOI: 10.1016/j.future.2013.02.011Tipo de producción: Artículo científico Tipo de soporte: RevistaFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
THEORY & METHODSÍndice de impacto: 2,639 Revista dentro del 25%: SiPosición de publicación: 8 Num. revistas en cat.: 102
0fd8f32479096078872da28d1bc9a743
42
10 Jesús Montes; Alberto Sánchez; María S. Pérez. Riding out of the storm: How to deal with the complexity of gridand cloud management. Journal of Grid Computing. 10 - 3, pp. 349 - 366. Springer, 08/2012. ISSN 1570-7873DOI: 10.1007/s10723-012-9225-4Tipo de producción: Artículo científico Tipo de soporte: RevistaFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
THEORY & METHODSÍndice de impacto: 1,603 Revista dentro del 25%: SiPosición de publicación: 19 Num. revistas en cat.: 100
11 Alberto Sánchez; Jesús Montes; María S. Pérez; Toni Cortes. An Autonomic Framework for Enhancing the Qualityof Data Grid Services. Future Generation Computer Systems. 28 - 7, pp. 1005 - 1016. Elsevier, 07/2012. ISSN0167-739XDOI: 10.1016/j.future.2011.08.016Tipo de producción: Artículo científico Tipo de soporte: RevistaFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
THEORY & METHODSÍndice de impacto: 1,864 Revista dentro del 25%: SiPosición de publicación: 15 Num. revistas en cat.: 100
12 Jesús Montés; Alberto Sánchez; Julio J. Valdés; María S. Pérez; Pilar Herrero. Finding order in chaos: A behaviormodel of the whole grid. Concurrency Computation: Practice and Experience. 22 - 11, pp. 1386 - 1415. WileyInterScience, 08/2010.DOI: 10.1002/cpe.1490Tipo de producción: Artículo científico Tipo de soporte: RevistaAutor de correspondencia: NoFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
SOFTWARE ENGINEERINGÍndice de impacto: 0,91Posición de publicación: 54 Num. revistas en cat.: 99
13 María S. Pérez; Pilar Herrero; Dennis Gannon; Daniel S. Katz. Special Issue: Grid Computing, High Performanceand Distributed Application. Concurrency Computation: Practice and Experience. 22 - 11, pp. 1335 - 1337. WileyInterScience, 08/2010.DOI: 10.1002/cpe.1613Tipo de producción: Artículo científico Tipo de soporte: RevistaAutor de correspondencia: SiFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
SOFTWARE ENGINEERINGÍndice de impacto: 0,91Posición de publicación: 54 Num. revistas en cat.: 99
14 Alberto Sánchez; María S. Pérez; Jesús Montes; Toni Cortes. A High Performance Suite of Data Services forGrids. Future Generation Computer Systems. 26 - 4, pp. 622 - 632. Elsevier, 04/2010. ISSN 0167-739XDOI: 10.1016/j.future.2009.11.006Tipo de producción: Artículo científico Tipo de soporte: RevistaFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
THEORY & METHODSÍndice de impacto: 2,371 Revista dentro del 25%: SiPosición de publicación: 10 Num. revistas en cat.: 97
0fd8f32479096078872da28d1bc9a743
43
15 Manuel Salvadores; Pilar Herrero; José L. Bosque; María S. Pérez. A Semantic Collaborative Awareness Modelto deal with Resource Sharing in Grids. Future Generation Computer Systems. 26 - 2, pp. 276 - 280. Elsevier,02/2010. ISSN 0167-739XDOI: 10.1016/j.future.2008.11.008Tipo de producción: Artículo científico Tipo de soporte: RevistaFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
THEORY & METHODSÍndice de impacto: 2,371 Revista dentro del 25%: SiPosición de publicación: 10 Num. revistas en cat.: 97
16 Pilar Herrero; Daniel S. Katz; María S. Pérez; Domenico Talia. Special Section: Grid computing, high-performanceand distributed applications. Future Generation Computer Systems. 26 - 2, pp. 257 - 258. Elsevier, 02/2010. ISSN0167-739XDOI: 10.1016/j.future.2009.09.003Tipo de producción: Artículo científico Tipo de soporte: RevistaFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
THEORY & METHODSÍndice de impacto: 2,371 Revista dentro del 25%: SiPosición de publicación: 10 Num. revistas en cat.: 97
17 Pilar Herrero; José L. Bosque; María S. Pérez. Covering the Cooperative Load Balancing Delivery in CollaborativeGrid Environments. Multiagent and Grid Systems - An International Journal. 5 - 3, pp. 267 - 286. IOS Press,09/2009.DOI: 10.3233/MGS-2009-0129Tipo de producción: Artículo científico Tipo de soporte: Revista
18 María S. Pérez; Alberto Sánchez; Jemal H. Abawajy; Víctor Robles; José M. Peña. An agent architecture formanaging data resources in a grid environment. Future Generation Computer Systems. 25 - 7, pp. 747 - 755.Elsevier, 07/2009. ISSN 0167-739XDOI: 10.1016/j.future.2008.07.011Tipo de producción: Artículo científico Tipo de soporte: RevistaAutor de correspondencia: SiFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
THEORY & METHODSÍndice de impacto: 2,229 Revista dentro del 25%: SiPosición de publicación: 12 Num. revistas en cat.: 92
19 Pilar Herrero; José L. Bosque; Manuel Salvadores; María S. Pérez. WE-AMBLE: A workflow engine to manageawareness in collaborative grid environments. International Journal of High Performance Computing Applications.22 - 2, pp. 250 - 267. SAGE Publications, 08/2008. ISSN 1094-3420DOI: 10.1177/1094342007086225Tipo de producción: Artículo científico Tipo de soporte: RevistaFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
THEORY & METHODSÍndice de impacto: 1,824Posición de publicación: 21 Num. revistas en cat.: 84
0fd8f32479096078872da28d1bc9a743
44
20 Pilar Herrero; José L. Bosque; Manuel Salvadores; María S. Pérez. A Rule Based Resources Managementfor Collaborative Grid Environments. International Journal of Internet Protocol Technology. 3 - 1, pp. 35 - 45.Inderscience Publishers, 07/2008. ISSN 1743-8209DOI: 10.1504/IJIPT.2008.019294Tipo de producción: Artículo científico Tipo de soporte: Revista
21 Alberto Sánchez; María S. Pérez; Pierre Gueant; Jesús Montes; Pilar Herrero; Toni Cortes. Improving GridFTPtransfers by means of a multiagent parallel file system. Multiagent and Grid Systems - An International Journal. 3 -4, pp. 441 - 451. IOS Press, 12/2007.DOI: 10.3233/MGS-2007-3408Tipo de producción: Artículo científico Tipo de soporte: Revista
22 Pilar Herrero; María S. Pérez. Special Issue on Grid Computing, High-Performance and Distributed Applications.Multiagent and Grid Systems - An International Journal. 3 - 4, pp. 353 - 354. IOS Press, 12/2007.DOI: 10.3233/MGS-2007-3401Tipo de producción: Artículo científico Tipo de soporte: Revista
23 Pilar Herrero; José L. Bosque; Manuel Salvadores; María S. Pérez. A Web Service Specification to ManageAwareness in Collaborative Grid Environments. International Transactions on Systems Sciences and Applications.3 - 2, pp. 90 - 104. 07/2007. ISSN 1751-1461Tipo de producción: Artículo científico Tipo de soporte: Revista
24 María S. Pérez; Bin Xiao. Special section: Security on grids and distributed systems. Future Generation ComputerSystems. 23 - 6, pp. 774 - 775. Elsevier, 07/2007. ISSN 0167-739XDOI: 10.1016/j.future.2007.02.001Tipo de producción: Artículo científico Tipo de soporte: RevistaAutor de correspondencia: SiFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
THEORY & METHODSÍndice de impacto: 1,095Posición de publicación: 27 Num. revistas en cat.: 79
25 María S. Pérez; Alberto Sánchez; Víctor Robles; Pilar Herrero; José M. Peña. Design and implementation of a datamining grid-aware architecture. Future Generation Computer Systems. 23 - 1, pp. 42 - 47. Elsevier, 01/2007. ISSN0167-739XDOI: 10.1016/j.future.2006.04.008Tipo de producción: Artículo científico Tipo de soporte: RevistaAutor de correspondencia: SiFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
THEORY & METHODSÍndice de impacto: 1,095Posición de publicación: 27 Num. revistas en cat.: 79
26 Alberto Sánchez; María S. Pérez; Konstantinos Karasavvas; Pilar Herrero; Antonio Pérez. MAPFS-DAI, anextension of OGSA-DAI based on a parallel file system. Future Generation Computer Systems. 23 - 1, pp. 138 -145. Elsevier, 01/2007. ISSN 0167-739XDOI: 10.1016/j.future.2006.03.028Tipo de producción: Artículo científico Tipo de soporte: RevistaAutor de correspondencia: NoFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
THEORY & METHODS
0fd8f32479096078872da28d1bc9a743
45
Índice de impacto: 1,095Posición de publicación: 27 Num. revistas en cat.: 79
27 María S. Pérez; Pilar Herrero. Special section: Data analysis, access and management on grids. FutureGeneration Computer Systems. 23 - 1, pp. 107 - 108. Elsevier, 01/2007. ISSN 0167-739XDOI: 10.1016/j.future.2006.07.004Tipo de producción: Artículo científico Tipo de soporte: RevistaAutor de correspondencia: SiFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
THEORY & METHODSÍndice de impacto: 1,095Posición de publicación: 27 Num. revistas en cat.: 79
28 María S. Pérez; Alberto Sánchez; Víctor Robles; José M. Peña. A Parallel I/O Architecture based on Traditionaland Distributed Servers. Information. 9 - 3, pp. 425 - 436. International Information Institute Publications, 05/2006.ISSN 1343-4500Tipo de producción: Artículo científico Tipo de soporte: RevistaAutor de correspondencia: Si
29 María S. Pérez; Jesús Carretero; Félix García; José M. Peña; Víctor Robles. MAPFS: A flexible multiagent parallelfile system for clusters. Future Generation Computer Systems. 22 - 5, pp. 620 - 632. Elsevier, 04/2006. ISSN0167-739XDOI: 10.1016/j.future.2005.09.006Tipo de producción: Artículo científico Tipo de soporte: RevistaAutor de correspondencia: SiFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
THEORY & METHODSÍndice de impacto: 0,722Posición de publicación: 43 Num. revistas en cat.: 75
30 María S. Pérez; Pilar Herrero; Francisco Sánchez; Víctor Robles. Are web self-assessment tools useful fortraining?. IEEE Transactions on Education. pp. 757 - 763. IEEE Education Society, 11/2005. ISSN 0018-9359DOI: 10.1109/TE.2005.853073Tipo de producción: Artículo científico Tipo de soporte: RevistaAutor de correspondencia: SiFuente de impacto: WOS (JCR) Categoría: Science Edition - ENGINEERING,
ELECTRICAL & ELECTRONICÍndice de impacto: 0,644Posición de publicación: 113 Num. revistas en cat.: 208
31 María S. Pérez; Alberto Sánchez; José M. Peña; Víctor Robles. A New Formalism for Dynamic Reconfigurationof Data Servers in a Cluster. Journal of Parallel and Distributed Computing. 65 - 10, pp. 1134 - 1145. Elsevier,10/2005. ISSN 0743-7315DOI: 10.1016/j.jpdc.2005.04.018Tipo de producción: Artículo científico Tipo de soporte: RevistaAutor de correspondencia: SiFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
THEORY & METHODSÍndice de impacto: 0,9Posición de publicación: 33 Num. revistas en cat.: 71
0fd8f32479096078872da28d1bc9a743
46
32 Alberto Sánchez; María S. Pérez. A Mathematical Predictive Model for an Autonomic System to GridEnvironments. Computational Science and Its Applications- ICCSA. Lecture Notes in Computer Science. 3482, pp.109 - 117. Springer Verlag, 2005. ISBN 978-3-540-25862-9DOI: 10.1007/11424857_12Tipo de producción: Artículo científicoFuente de impacto: WOS (JCR)Índice de impacto: 0,402
33 María S. Pérez; Alberto Sánchez; Pilar Herrero; Víctor Robles; José M. Peña. Adapting the Weka Data MiningToolkit to a Grid based environment. Third International Atlantic Web IntelligenceConference, Lecture Notes inArtificial Intelligence. 3528, pp. 492 - 497. Springer Verlag, 2005. ISBN 978-3-540-26219-0DOI: 10.1007/11495772_77Tipo de producción: Artículo científicoFuente de impacto: WOS (JCR)Índice de impacto: 0,302
34 Manuel Salvadores; Pilar Herrero; María S. Pérez; Víctor Robles. DCP-Grid. A Framework for ConcurrentDistributed Transactions on Grid Environments. Third International Atlantic Web Intelligence Conference, LectureNotes in Artificial Intelligence. 3528, pp. 498 - 503. Springer Verlag, 2005. ISBN 978-3-540-26219-0DOI: 10.1007/11495772_78Tipo de producción: Artículo científicoFuente de impacto: WOS (JCR)Índice de impacto: 0,302
35 Manuel Salvadores; Pilar Herrero; María S. Pérez; Víctor Robles. DCP-Grid. A Framework for ConversationalDistributed Transactions on Grid Environments. 5th International Conference on Computational Science, LectureNotes in Computer Science. 3516, pp. 171 - 178. Springer Verlag, 2005. ISBN 978-3-540-26044-8DOI: 10.1007/11428862_25Tipo de producción: Artículo científicoFuente de impacto: WOS (JCR)Índice de impacto: 0,402
36 Víctor Robles; José M. Peña; María S. Pérez; Pilar Herrero; Óscar Cubo. Extending the GA-EDA hybrid algorithmto study diversification and intensification in GAs and EDAs.6th International Symposium on Intelligent DataAnalysis. Lecture Notes in Computer Science. 3646, pp. 339 - 350. Springer Verlag, 2005. ISSN 0302-9743DOI: 10.1007/11552253_31Tipo de producción: Artículo científicoFuente de impacto: WOS (JCR)Índice de impacto: 0,402
37 Manuel Salvadores; Pilar Herrero; María S. Pérez; Alberto Sánchez. Modelling the sqrt(N)+ROWA ModelApproach Inside the WS-ReplicationResource. OTM Conferences 2005, Lecture Notes in Computer Science.3762, pp. 397 - 405. Springer Verlag, 2005. ISBN 978-3-540-29739-0DOI: 10.1007/11575863_59Tipo de producción: Artículo científicoFuente de impacto: WOS (JCR)Índice de impacto: 0,402
0fd8f32479096078872da28d1bc9a743
47
38 Carole Goble; Asunción Gómez-Pérez; Rafael González-Cabero; María S. Pérez. ODEGSG Framework,Knowledge-based Annotation and Design of Grid Services. 3rd International Conference on ServiceOriented Computing, Lecture Notes in Computer Science. 3826, pp. 341 - 352. Springer Verlag, 2005. ISBN978-3-540-30817-1DOI: 10.1007/11596141_26Tipo de producción: Artículo científicoFuente de impacto: WOS (JCR)Índice de impacto: 0,402
39 Alberto Sánchez; María S. Pérez; Ramiro Aparicio; Pilar Herrero; Manuel Salvadores. Providing AutonomicFeatures to a Data Grid. OTM Conferences 2005. Lecture Notes in Computer Science. 3762, pp. 337 - 346.Springer Verlag, 2005. ISBN 978-3-540-29739-0DOI: 10.1007/11575863_53Tipo de producción: Artículo científicoFuente de impacto: WOS (JCR)Índice de impacto: 0,402
40 Ernestina Menasalvas; Víctor Robles; Pedro Larrañaga; José M. Peña; María S. Pérez; Vanessa Herves; AnitaWasilewska. Bayesian networks multi-classifier for protein secondary structure prediction. Artificial Intelligence inMedicine. 31 - 2, pp. 117 - 136. Elsevier, 06/2004. ISSN 0933-3657DOI: 10.1016/j.artmed.2004.01.009Tipo de producción: Artículo científico Tipo de soporte: RevistaAutor de correspondencia: NoFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
ARTIFICIAL INTELLIGENCEÍndice de impacto: 1,12Posición de publicación: 31 Num. revistas en cat.: 78
41 Alberto Sánchez; María S. Pérez; Víctor Robles; José M. Peña; Pilar Herrero. A Flexible Two-Level I/OArchitecture for Grids. Scientific Applications of Grid Computing. Revised, Selected and Invited Papers. HotTopics. Lecture Notes in Computer Science. 3458, pp. 50 - 58. Springer Verlag, 2004. ISBN 978-3-540-25810-0DOI: 10.1007/11423287_5Tipo de producción: Artículo científicoFuente de impacto: WOS (JCR)Índice de impacto: 0,513
42 José M. Peña; Víctor Robles; Oscar Marbán; María S. Pérez. Bayesian Methods to Estimate Future Load in WebFarms. Second International Atlantic Web Intelligence Conference. Lecture Notes in Artificial Intelligence. 3034,pp. 217 - 226. Springer Verlag, 2004. ISSN 0302-9743DOI: 10.1007/978-3-540-24681-7_24Tipo de producción: Artículo científicoFuente de impacto: WOS (JCR)Índice de impacto: 0,251
43 María S. Pérez; Alberto Sánchez; Jemal H. Abawajy; Víctor Robles; José M. Peña. Design and Evaluation ofan Agent-Based Communication Model for a Parallel File System. International Conference on ComputationalScience and its Applications. Lecture Notes in Computer Science. 3044, pp. 87 - 96. Springer Verlag, 2004. ISSN0302-9743
0fd8f32479096078872da28d1bc9a743
48
DOI: 10.1007/978-3-540-24709-8_10Tipo de producción: Artículo científicoAutor de correspondencia: SiFuente de impacto: WOS (JCR)Índice de impacto: 0,513
44 José M. Peña; Víctor Robles; Pedro Larrañaga; Vanesa Hervés; Francisco Rosales; María S. Pérez. GA-EDA:Hybrid Evolutionary Algorithm using Genetic Algorithms and Estimation of Distribution Algorithms. 17thInternational Conference on Industrial and Engineering Applications of Artificial Intelligence and Expert Systems.Lecture Notes in Artificial Intelligence. 3029, pp. 361 - 371. Springer Verlag, 2004. ISSN 0302-9743DOI: 10.1007/978-3-540-24677-0_38Tipo de producción: Artículo científicoFuente de impacto: WOS (JCR)Índice de impacto: 0,251
45 Pilar Herrero; María S. Pérez; Víctor Robles. GAM: A Grid Awareness Model for Grid Environments. ScientificApplications of Grid Computing. Revised, Selected and Invited Papers. Hot Topics. Lecture Notes in ComputerScience. 3458, pp. 158 - 167. Springer Verlag, 2004. ISBN 978-3-540-25810-0DOI: 10.1007/11423287_14Tipo de producción: Artículo científicoFuente de impacto: WOS (JCR)Índice de impacto: 0,513
46 José M. Peña; Alberto Sánchez; María S. Pérez; Pilar Herrero; Víctor Robles. Improving Distributed DataMining Techniques by means of a Grid Infrastructure. 1st Workshop on Grid Computing and its Applicationto Data Analysis. Lecture Notes in Computer Science. 3292, pp. 111 - 122. Springer Verlag, 2004. ISBN978-3-540-23664-1DOI: 10.1007/978-3-540-30470-8_29Tipo de producción: Artículo científicoFuente de impacto: WOS (JCR)Índice de impacto: 0,513
47 María S. Pérez; Alberto Sánchez; Víctor Robles; José M. Peña; Fernando Pérez. Optimizations Based on Hints ina Parallel File System. International Conference on Computational Science. Lecture Notes in Computer Science.3038, pp. 347 - 354. Springer Verlag, 2004. ISBN 978-3-540-22116-6DOI: 10.1007/978-3-540-24688-6_47Tipo de producción: Artículo científicoAutor de correspondencia: SiFuente de impacto: WOS (JCR)Índice de impacto: 0,513
48 María S. Pérez; Ramon A. Pons; Félix García; Jesús Carretero; María L. Córdoba. An Optimization of AprioriAlgorithm through the Usage of Parallel I/O and Hints. Third International Conference on Rough Sets and CurrentTrends in Computing. Lecture Notes in Artificial Intelligence. 2475, pp. 449 - 452. Springer Verlag, 2002. ISBN978-3-540-44274-5DOI: 10.1007/3-540-45813-1_59Tipo de producción: Artículo científicoAutor de correspondencia: SiFuente de impacto: WOS (JCR)
0fd8f32479096078872da28d1bc9a743
49
Índice de impacto: 0,513
49 Bunjamin Memishi; Shadi Ibrahim; María S. Pérez; Gabriel Antoniu. On the Dynamic Shifting of the MapReduceTimeout. Managing and Processing Big Data in Cloud Computing. IGI Global, 02/2016. ISBN 9781466697676DOI: 10.4018/978-1-4666-9767-6Tipo de producción: Capítulo de libro Tipo de soporte: Libro
50 Bunjamin Memishi; Shadi Ibrahim; María S. Pérez; Gabriel Antoniu. Fault Tolerance in MapReduce: A Survey.Resource Management for Big-Data Platforms: Algorithms, Modelling, and High-Performance ComputingTechniques (Computer Communications and Networks). Springer International Publishing, 2016. ISBN978-3-319-44880-0DOI: 10.1007/978-3-319-44881-7Tipo de producción: Capítulo de libro Tipo de soporte: Libro
51 María S. Pérez; Shadi Ibrahim; Gabriel Antoniu; María S. Pérez. Consistency Management in Cloud StorageSystems. Large Scale and Big Data. Processing and Management. pp. 325 - 356. CRC Press, 2014. ISBN978-1-4665-8150-0Tipo de producción: Capítulo de libro Tipo de soporte: Libro
52 Alberto Sánchez; Jesús Montes; Werner Dubitzky; Julio J. Valdés; María S. Pérez; Pedro de Miguel. DMGA: Ageneric brokering-based data mining grid architecture. Data Mining Techniques in Grid Computing Environments.pp. 201 - 219. John Wiley & Sons, Ltd, 06/2009. ISBN 9780470512586DOI: 110.1002/9780470699904.ch12Tipo de producción: Capítulo de libro Tipo de soporte: Libro
53 Alberto Sánchez; Jesús Montes; Werner Dubitzky; Julio J. Valdés; María S. Pérez; Pedro de Miguel. Data Miningmeets Grid Computing: Time to Dance. Data Mining Techniques in Grid Computing Environments. pp. 1 - 16. JohnWiley & Sons, Ltd, 06/2009. ISBN 9780470512586DOI: 10.1002/9780470699904.ch1Tipo de producción: Capítulo de libro Tipo de soporte: Libro
54 Pilar Herrero; José L. Bosque; María S. Pérez. A Business-Rules Based Model to Manage Virtual Organizations inCollaborative Grid Environments. Market-Oriented Grid and Utility Computing. pp. 167 - 185. John Wiley & Sons,2008. ISBN 978-0-470-28768-2DOI: 10.1002/9780470455432.ch8Tipo de producción: Capítulo de libro Tipo de soporte: Libro
55 María S. Pérez; Alberto Sánchez; Pilar Herrero; Víctor Robles. A New Approach for overcoming the I/O crisis ingrid environments. Engineering The Grid: Status and Perspective. pp. 311 - 321. American Scientific Publishers,2006. ISBN 1-58883-038-1Tipo de producción: Capítulo de libro Tipo de soporte: LibroAutor de correspondencia: Si
56 Víctor Robles; José M. Peña; Pedro Larrañaga; María S. Pérez. GA-EDA: A new Hybrid Cooperative SearchEvolutionary Algorithm. Towards a New Evolutionary Computation. Advances in Estimation of DistributionAlgorithms. pp. 187 - 219. Springer Verlag Heidelberg, 2006. ISBN 3-540-29006-0DOI: 10.1007/3-540-32494-1_8Tipo de producción: Capítulo de libro Tipo de soporte: Libro
57 María S. Pérez; Jesús Carretero; Félix García; José M. Peña; Víctor Robles. A Multiagent Infrastructure forData-Intensive Grid Applications. New Horizons of Parallel and Distributed Computing. pp. 299 - 312. Springer,2005. ISBN 0-387-24434-4
0fd8f32479096078872da28d1bc9a743
50
DOI: 10.1007/0-387-28967-4_20Tipo de producción: Capítulo de libro Tipo de soporte: LibroAutor de correspondencia: Si
58 María S. Pérez; Pilar Herrero; Dennis Gannon; Daniel S. Katz. Special Issue: Grid Computing, High Performanceand Distributed Application. Concurrency Computation: Practice and Experience. 22 - 11, Wiley InterScience,08/2010.DOI: 10.1002/cpe.1613Tipo de producción: Edición científica Tipo de soporte: RevistaAutor de correspondencia: SiFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
SOFTWARE ENGINEERINGÍndice de impacto: 0,91Posición de publicación: 54 Num. revistas en cat.: 99
59 Pilar Herrero; Daniel S. Katz; María S. Pérez; Domenico Talia. Special Section: Grid computing, high-performanceand distributed applications. Future Generation Computer Systems. 26 - 2, Elsevier, 02/2010. ISSN 0167-739XDOI: 10.1016/j.future.2009.09.003Tipo de producción: Edición científica Tipo de soporte: RevistaFuente de impacto: WOS (JCR) Categoría: Science Edition - COMPUTER SCIENCE,
THEORY & METHODSÍndice de impacto: 2,371 Revista dentro del 25%: SiPosición de publicación: 10 Num. revistas en cat.: 97
60 Pilar Herrero; María S. Pérez. Special Issue on Grid Computing, High Performance and Distributed Applications.Multiagent and Grid Systems - An International Journal. 3 - 4, IOS Press, 12/2007. ISSN 1574-1702DOI: 10.3233/MGS-2007-3401Tipo de producción: Edición científicaGrado de contribución: Editor/a o coeditor/a
61 María S. Pérez; Bin Xiao. Special Section on Security on the Grid and Distributed Systems. Future GenerationComputer Systems. 23 - 6, Elsevier, 07/2007. ISSN 0167-739XDOI: 10.1016/j.future.2007.02.001Tipo de producción: Edición científicaGrado de contribución: Editor/a o coeditor/aFuente de impacto: WOS (JCR)Índice de impacto: 1,095
62 María S. Pérez; Pilar Herrero. Special Section on Data Analysis, Access and Management on Grids. FutureGeneration Computer Systems. 23 - 1, Elsevier, 01/2007. ISSN 0167-739XDOI: 0.1016/j.future.2006.07.004Tipo de producción: Edición científicaGrado de contribución: Editor/a o coeditor/aFuente de impacto: WOS (JCR)Índice de impacto: 1,095
0fd8f32479096078872da28d1bc9a743
51
63 Robert Meersman; Zahir Tari; Pilar Herrero; María S. Pérez. On the Move to Meaningful Internet Systems 2005OTM 2005 Workshops: OTM Confederated International Workshops and Posters, AWeSOMe, CAMS, GADA,MIOS+INTEROP, ORM, PhDS, SeBGIS, SWWS, and WOSE 2005.Agia Napa, Cyprus. Lecture Notes in ComputerScience. 3762, Springer Verlag, 11/2005. ISSN 0302-9743DOI: 10.1007/11575863Tipo de producción: Edición científicaGrado de contribución: Editor/a o coeditor/aFuente de impacto: WOS (JCR)Índice de impacto: 0,402
64 Pilar Herrero; María S. Pérez; Víctor Robles; Milena Radenkovic. Proceedings del First International Workshop onScientific Application on Grid Computing (SAG'04). 2004 IEEE/WIC/ACM International Joint Conference on WebIntelligence (WI 2004) and Intelligent Agent Technology (IAT 2004). 3458, Beijing Press, 09/2004.Tipo de producción: Edición científicaGrado de contribución: Editor/a o coeditor/a
65 Pilar Herrero; María S. Pérez; Víctor Robles. Scientific Applications of Grid Computing. Revised, Selected andInvited Papers. Hot Topics.Lecture Notes in Computer Science. 3458, Springer Verlag, 2004. ISBN 3540258108DOI: 10.1007/b136149Tipo de producción: Edición científicaGrado de contribución: Editor/a o coeditor/aFuente de impacto: WOS (JCR)Índice de impacto: 0,513
Trabajos presentados en congresos nacionales o internacionales
1 Título del trabajo: Machine Learning-Based Query Augmentation for SPARQL EndpointsNombre del congreso: 14th International Conference on Web Information Systems and Technologies(WEBIST) 2018Tipo evento: CongresoAutor de correspondencia: NoCiudad de celebración: Sevilla, EspañaFecha de celebración: 18/09/2018Fecha de finalización: 20/09/2018Forma de contribución: Artículo científicoMariano Rico; Rizkallah Touma; Anna Queralt; María S. Pérez. "Machine Learning-Based QueryAugmentation for SPARQL Endpoints". En: 4th International Conference on Web Information Systemsand Technologies (WEBIST) 2018. Springer,
2 Título del trabajo: KerA: Scalable Data Ingestion for Stream ProcessingNombre del congreso: 38th IEEE International Conference on Distributed Computing Systems (ICDCS)Tipo evento: CongresoAutor de correspondencia: NoCiudad de celebración: Viena, AustriaFecha de celebración: 02/07/2018Fecha de finalización: 05/07/2018Forma de contribución: Artículo científicoOvidio-Cristian Marcu; Alexandru Costan; Gabriel Antoniu; Maria S. Perez; Bogdan Nicolae; Radu Tudoran;Stefano Bortoli. "Proceedings of the IEEE International Conference on Distributed Computing Systems(ICDCS)".
0fd8f32479096078872da28d1bc9a743
52
3 Título del trabajo: SLoG: A Large-Scale Logging Middleware for HPC and Big Data ConvergenceNombre del congreso: 38th IEEE International Conference on Distributed Computing Systems (ICDCS)Tipo evento: CongresoAutor de correspondencia: NoCiudad de celebración: Viena, AustriaFecha de celebración: 02/07/2018Fecha de finalización: 05/07/2018Forma de contribución: Artículo científicoPierre Matri; Philip Carns; Robert Ross; Alexandru Costan; Maria S. Perez; Gabriel Antoniu. "Proceedings ofthe IEEE International Conference on Distributed Computing Systems (ICDCS)".
4 Título del trabajo: TyrFS: Increasing Small Files Access Performance with Dynamic Metadata ReplicationNombre del congreso: 18th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing,CCGrid 2018Tipo evento: Congreso Ámbito geográfico: Internacional no UECiudad de celebración: Washington, Estados Unidos de AméricaFecha de celebración: 01/05/2018Fecha de finalización: 04/05/2018Forma de contribución: Artículo científicoPierre Matri; María S. Pérez; Alexandru Costan; Gabriel Antoniu. "TyrFS: Increasing Small Files AccessPerformance with Dynamic Metadata Replication". En: CCGrid 2018, Proceedings of the 18th IEEE/ACMInternational Symposium on Cluster, Cloud and Grid Computing, CCGrid 2018. pp. 452 - 461. ISBN0-7695-6410-0
5 Título del trabajo: Towards a Unified Storage and Ingestion Architecture for Stream ProcessingNombre del congreso: Second workshop on Real-time and stream processing in Big Data, IEEEInternational Conference on Big DataTipo evento: CongresoCiudad de celebración: Boston, Estados Unidos de AméricaFecha de celebración: 11/12/2017Fecha de finalización: 14/12/2017Forma de contribución: Artículo científicoOvidiu Marcu; Alexandru Costan; Gabriel Antoniu; María S. Pérez; Radu Tudoran; Stefano Bortoli; BogdanNicolae. "Towards a Unified Storage and Ingestion Architecture for Stream Processing". En: Proceedings ofthe Second workshop on Real-time and stream processing in Big Data, IEEE International Conferenceon Big Data. pp. 2402 - 2407.
6 Título del trabajo: Predicting Access to Persistent Objects Through Static Code AnalysisNombre del congreso: Advances in Databases and Information Systems 2017 (ADBIS 2017)Tipo evento: CongresoAutor de correspondencia: NoCiudad de celebración: Nicosia, ChipreFecha de celebración: 24/09/2017Fecha de finalización: 27/09/2017Forma de contribución: Artículo científicoRizkallah Touma; Anna Queralt; Toni Cortes; María S. Pérez. "Predicting Access to Persistent ObjectsThrough Static Code Analysis". En: New Trends in Databases and Information Systems. ADBIS2017. Communications in Computer and Information Science. 767, pp. 54 - 62. Springer, ISBN978-3-319-67161-1DOI: 10.1007/978-3-319-67162-8_7
0fd8f32479096078872da28d1bc9a743
53
7 Título del trabajo: Exploring Shared State in Key-Value Store for Window-Based Multi-Pattern StreamingAnalyticsNombre del congreso: 17th IEEE/ACM International Symposium on Cluster, Cloud and Grid ComputingTipo evento: CongresoCiudad de celebración: Madrid, EspañaFecha de celebración: 14/05/2017Fecha de finalización: 17/05/2017Forma de contribución: Artículo científicoOvidiu Marcu; Radu Tudoran; Bogdan Nicolae; Alexandru Costan; Gabriel Antoniu; María S. Pérez."Exploring Shared State in Key-Value Store for Window-Based Multi-Pattern Streaming Analytics". En:Proceedings of the 17th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing.pp. 1044 - 1052. ISBN 978-1-5090-6610-0DOI: 10.1109/CCGRID.2017.126
8 Título del trabajo: Tyr: Blob Storage Systems Meet Built-In TransactionsNombre del congreso: SC '16 Proceedings of the International Conference for High PerformanceComputing, Networking, Storage and Analysis. Article n. 49Tipo evento: Congreso Ámbito geográfico: Internacional no UECiudad de celebración: Salt Lake City, Estados Unidos de AméricaFecha de celebración: 13/11/2016Fecha de finalización: 18/11/2016Forma de contribución: Artículo científicoPierre Matri; Alexandru Costan; Gabriel Antoniu; Jesús Montes; María S. Pérez. "Tyr: Blob StorageSystems Meet Built-In Transactions". En: SC '16 Proceedings of the International Conference for HighPerformance Computing, Networking, Storage and Analysis. Article n. 49. ISBN 978-1-4673-8815-3DOI: 10.1109/SC.2016.48
9 Título del trabajo: Spark versus Flink: Understanding Performance in Big Data Analytics FrameworksNombre del congreso: IEEE Cluster 2016Tipo evento: CongresoCiudad de celebración: Taipei, TaiwánFecha de celebración: 12/09/2016Fecha de finalización: 16/09/2016Forma de contribución: Artículo científicoOvidiu Marcu; Alexandru Costan; Gabriel Antoniu; María S. Pérez. "Spark versus Flink: UnderstandingPerformance in Big Data Analytics Frameworks". En: Proceedings of IEEE Cluster 2016. pp. 3 - 9. IEEE,ISSN 2168-9253DOI: 10.1109/CLUSTER.2016.22
10 Título del trabajo: Towards Efficient Localization of Dynamic Replicas for Geo-Distributed Data StoresNombre del congreso: 7th Workshop on Scientific Cloud Computing (ScienceCloud) 2016, ACM HPDCTipo evento: CongresoCiudad de celebración: Tokio, JapónFecha de celebración: 01/06/2016Fecha de finalización: 01/06/2016Forma de contribución: Artículo científicoPierre Matri; Alexandru Costan; Gabriel Antoniu; Jesús Montes; María S. Pérez. "Towards EfficientLocalization of Dynamic Replicas for Geo-Distributed Data Stores". En: Proceedings of the 7thWorkshop on Scientific Cloud Computing (ScienceCloud) 2016, ACM HPDC. pp. 3 - 9. ACM, ISBN978-1-4503-4353-4
0fd8f32479096078872da28d1bc9a743
54
11 Título del trabajo: Exploring Energy-Consistency Trade-off in Cassandra Cloud Storage SystemNombre del congreso: 27th International Symposium on Computer Architecture and High PerformanceComputing (SBAC-PAD), 2015Tipo evento: CongresoCiudad de celebración: Santa Catarina, BrasilFecha de celebración: 18/10/2015Fecha de finalización: 21/10/2015Forma de contribución: Artículo científicoHoussem-Eddine Chihoub; Shadi Ibrahim; Yue Li; Gabriel Antoniu; María S. Pérez; Luc Bougé. "ExploringEnergy-Consistency Trade-off in Cassandra Cloud Storage System". En: Proceedings of the 27thInternational Symposium on Computer Architecture and High Performance Computing (SBAC-PAD),2015. pp. 146 - 153. IEEE, ISSN 1550-6533DOI: 10.1109/SBAC-PAD.2015.28
12 Título del trabajo: Diarchy: An Optimized Management Approach for MapReduce MastersNombre del congreso: International Conference on Computational Science (ICCS) 2015Tipo evento: CongresoCiudad de celebración: Reykjavík, IslandiaFecha de celebración: 01/06/2015Fecha de finalización: 03/06/2015Forma de contribución: Artículo científicoBunjamin Memishi; María S. Pérez; Gabriel Antoniu. "Diarchy: An Optimized Management Approachfor MapReduce Masters". En: International Conference on Computational Science (ICCS) 2015,Computational Science at the Gates of Nature, Procedia Computer Science. 51, pp. 9 - 18. Elsevier,DOI: 10.1016/j.procs.2015.05.179
13 Título del trabajo: Semantic-Based Approach to Attain Reproducibility of Computational Environments inScientific Workflows: A Case StudyNombre del congreso: 1st International Workshop on Reproducibility in Parallel Computing, Europar 2014Tipo evento: CongresoCiudad de celebración: Oporto, PortugalFecha de celebración: 25/08/2014Fecha de finalización: 29/08/2014Forma de contribución: Artículo científicoIdafen Santana; Rafael Ferreira da Silva; Mats Rynge; Ewa Deelman; María S. Pérez; Oscar Corcho."Semantic-Based Approach to Attain Reproducibility of Computational Environments in Scientific Workflows:A Case Study". En: Parallel Processing Workshops. Euro-Par 2014. Lecture Notes in Computer Science.8805, pp. 452 - 463. ISBN 978-3-319-14324-8DOI: 10.1007/978-3-319-14325-5_39
14 Título del trabajo: Leveraging Semantics to Improve Reproducibility in Scientific WorkflowsNombre del congreso: Reproducibility@XSEDE workshopTipo evento: CongresoCiudad de celebración: Atlanta, Estados Unidos de AméricaFecha de celebración: 14/07/2014Fecha de finalización: 14/07/2014Forma de contribución: Artículo científicoIdafen Santana; Rafael Ferreira da Silva; Mats Rynge; Ewa Deelman; María S. Pérez; Oscar Corcho."Leveraging Semantics to Improve Reproducibility in Scientific Workflows". En: Proceedings of theReproducibility@XSEDE workshop.
0fd8f32479096078872da28d1bc9a743
55
15 Título del trabajo: Topic 5: Parallel and Distributed Data ManagementNombre del congreso: European Conference on Parallel ProcessingTipo evento: CongresoAutor de correspondencia: SiCiudad de celebración: Aachen, AlemaniaFecha de celebración: 26/08/2013Fecha de finalización: 30/08/2013Forma de contribución: Artículo científicoMaría S. Pérez; André Brinkmann; Stergios Anastasiadis; Sandro Fiore; Adrien Lebre; Kostas Magoutis."Topic 5: Parallel and Distributed Data Management". En: Euro-Par 2013 Parallel Processing. Euro-Par2013. Lecture Notes in Computer Science. 8097, pp. 215 - 215. Springer Verlag, ISBN 978-3-642-40046-9DOI: 10.1007/978-3-642-40047-6_23
16 Título del trabajo: Consistency in the Cloud: When Money Does Matter!Nombre del congreso: 13th IEEE/ACM International Symposium on Cluster, Cloud, and Grid Computing2013 (CCGrid 2013)Tipo evento: CongresoCiudad de celebración: Delft, HolandaFecha de celebración: 13/05/2013Fecha de finalización: 16/05/2013Forma de contribución: Artículo científicoHoussem-Eddine Chihoub; Shadi Ibrahim; Gabriel Antoniu; María S. Pérez. "Consistency in the Cloud:When Money Does Matter!". En: Proceedings of the 13th IEEE/ACM International Symposium onCluster, Cloud, and Grid Computing 2013 (CCGrid 2013). pp. 352 - 359. IEEE, ISBN 978-1-4673-6465-2DOI: 10.1109/CCGrid.2013.40
17 Título del trabajo: Harmony: Towards Automated Self-Adaptive Consistency in Cloud StorageNombre del congreso: IEEE International Conference on Cluster Computing 2012Tipo evento: CongresoCiudad de celebración: Beijing, ChinaFecha de celebración: 24/09/2012Fecha de finalización: 28/09/2012Forma de contribución: Artículo científicoHoussem-Eddine Chihoub; Shadi Ibrahim; Gabriel Antoniu; María S. Pérez. "Harmony: Towards AutomatedSelf-Adaptive Consistency in Cloud Storage". En: Proceedings of the IEEE International Conference onCluster Computing 2012. pp. 293 - 301. IEEE, ISBN 978-1-4673-2422-9DOI: 10.1109/CLUSTER.2012.56
18 Título del trabajo: Enhanced failure detection mechanism in MapReduceNombre del congreso: International Conference on High Performance Computing and Simulation (HPCS)2012Tipo evento: CongresoCiudad de celebración: Madrid, EspañaFecha de celebración: 02/07/2012Fecha de finalización: 06/07/2012Forma de contribución: Artículo científicoBunjamin Memishi; María S. Pérez; Gabriel Antoniu. "Enhanced failure detection mechanism inMapReduce". En: Proceedings of the IEEE International Conference on High Performance Computingand Simulation (HPCS) 2012. pp. 690 - 692. IEEE, ISBN 978-1-4673-2359-8DOI: 10.1109/HPCSim.2012.6266995
0fd8f32479096078872da28d1bc9a743
56
19 Título del trabajo: Semantic scheduling of virtualized infrastructures for scientific workflowsNombre del congreso: International Conference on High Performance Computing and Simulation (HPCS)2012Tipo evento: CongresoCiudad de celebración: Madrid, EspañaFecha de celebración: 02/07/2012Fecha de finalización: 06/07/2012Forma de contribución: Artículo científicoIdafen Santana; María S. Pérez. "A semantic scheduler architecture for federated hybrid clouds". En:Proceedings of the IEEE International Conference on High Performance Computing and Simulation(HPCS) 2012. pp. 687 - 689. IEEE, ISBN 978-1-4673-2359-8DOI: 10.1109/HPCSim.2012.6266994
20 Título del trabajo: A semantic scheduler architecture for federated hybrid cloudsNombre del congreso: IEEE International Conference on Cloud Computing (CLOUD 2012)Tipo evento: CongresoCiudad de celebración: Honolulu, Estados Unidos de AméricaFecha de celebración: 24/06/2012Fecha de finalización: 29/06/2012Forma de contribución: Artículo científicoIdafen Santana; María S. Pérez. "A semantic scheduler architecture for federated hybrid clouds". En:Proceedings of the IEEE International Conference on Cloud Computing (CLOUD 2012). pp. 384 - 391.IEEE, ISBN 978-1-4673-2892-0DOI: 10.1109/CLOUD.2012.43
21 Título del trabajo: Parallel and Distributed Data Management. IntroductionNombre del congreso: 17th International Conference on Euro-Par 2011Tipo evento: CongresoCiudad de celebración: Burdeos, FranciaFecha de celebración: 29/08/2011Fecha de finalización: 02/09/2011Forma de contribución: Artículo científicoSalvatore Orlando; Gabriel Antoniu; Amol Ghoting; María S. Pérez. "Parallel and Distributed DataManagement. Introduction". En: Euro-Par 2011 Parallel Processing. Lecture Notes in Computer Science.6852, pp. 351 - 352. Springer Verlag, ISBN 978-3-642-23399-9
22 Título del trabajo: Grid Global Behavior PredictionNombre del congreso: 11th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing(CCGrid), 2011Tipo evento: CongresoCiudad de celebración: Newport Beach, Estados Unidos de AméricaFecha de celebración: 23/05/2011Fecha de finalización: 26/05/2011Forma de contribución: Artículo científicoJesús Montes; Alberto Sánchez; María S. Pérez. "Grid Global Behavior Prediction". En: Proceedings of the11th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (CCGrid), 2011. pp.124 - 133. IEEE, ISBN 978-1-4577-0129-0DOI: 10.1109/CCGrid.2011.17
23 Título del trabajo: Improving grid fault tolerance by means of global behavior modelingNombre del congreso: 9th International Symposium on Parallel and Distributed Computing (ISPDC 2010)Tipo evento: Congreso
0fd8f32479096078872da28d1bc9a743
57
Ciudad de celebración: Estambul, TurquíaFecha de celebración: 07/07/2010Fecha de finalización: 09/07/2010Forma de contribución: Artículo científicoJesús Montes; Alberto Sánchez; María S. Pérez. "Improving grid fault tolerance by means of global behaviormodeling". En: Proceedings of the 9th International Symposium on Parallel and Distributed Computing(ISPDC 2010). pp. 101 - 108. IEEE Computer Society Press, ISBN 978-1-4244-7602-2DOI: 10.1109/ISPDC.2010.20
24 Título del trabajo: GloBeM: Un modelo global del gridNombre del congreso: XX Jornadas de ParalelismoTipo evento: Jornada Ámbito geográfico: NacionalCiudad de celebración: A Coruña, EspañaFecha de celebración: 16/09/2009Fecha de finalización: 18/09/2009Forma de contribución: Artículo científicoJesús Montes; Alberto Sánchez; María S. Pérez. "GloBeM: Un modelo global del grid". En: Proceedings delas XX Jornadas de Paralelismo. pp. 599 - 604.
25 Título del trabajo: The Grid as a single entity: Towards a behavior model of the whole gridNombre del congreso: OTM Conferences 2008Tipo evento: CongresoCiudad de celebración: Monterrey, MéxicoFecha de celebración: 09/11/2008Fecha de finalización: 14/11/2008Forma de contribución: Artículo científicoJesús Montes; Alberto Sánchez; Julio J. Valdés; María S. Pérez; Pilar Herrero. "The Grid as a single entity:Towards a behavior model of the whole grid". En: OTM Conferences 2008. Lecture Notes in ComputerScience. 5331, pp. 886 - 897. Springer Verlag, ISBN 978-3-540-88870-3DOI: 10.1007/978-3-540-88871-0_62
26 Título del trabajo: A Semantic Data Grid for Satellite Mission Quality AnalysisNombre del congreso: 7th International Semantic Web ConferenceTipo evento: CongresoCiudad de celebración: Karlsruhe, AlemaniaFecha de celebración: 26/10/2008Fecha de finalización: 30/10/2008Forma de contribución: Artículo científicoReuben Wright; Manuel Sánchez-Gestido; Asunción Gómez-Pérez; María S. Pérez; RafaelGonzález-Cabero; Oscar Corcho. "A Semantic Data Grid for Satellite Mission Quality Analysis". En: TheSemantic Web - ISWC 2008. ISWC 2008. Lecture Notes in Computer Science. 5318, pp. 818 - 832.Springer Verlag, ISBN 978-3-540-88563-4DOI: 978-3-540-88564-1_53
27 Título del trabajo: An Agents-Based Cooperative Awareness Model to Cover Load Balancing Delivery inGrid EnvironmentsNombre del congreso: OTM Conferences 2007Tipo evento: CongresoCiudad de celebración: Vilamoura, PortugalFecha de celebración: 25/11/2007Fecha de finalización: 30/11/2007
0fd8f32479096078872da28d1bc9a743
58
Forma de contribución: Artículo científicoPilar Herrero; José L. Bosque; María S. Pérez. "An Agents-Based Cooperative Awareness Model to CoverLoad Balancing Delivery in Grid Environments". En: OTM Conferences 2007. Lecture Notes in ComputerScience. 4805, pp. 64 - 74. Springer Verlag, ISBN 978-3-540-76887-6DOI: 10.1007/978-3-540-76888-3_26
28 Título del trabajo: Managing Dynamic Virtual Organizations to Get Effective Cooperation in CollaborativeGrid EnvironmentsNombre del congreso: OTM Conferences 2007Tipo evento: CongresoCiudad de celebración: Vilamoura, PortugalFecha de celebración: 25/11/2007Fecha de finalización: 30/11/2007Forma de contribución: Artículo científicoPilar Herrero; José L. Bosque; Manuel Salvadores; María S. Pérez. "Managing Dynamic VirtualOrganizations to Get Effective Cooperation in Collaborative Grid Environments". En: OTM Conferences2007. Lecture Notes in Computer Science. 4804, pp. 1435 - 1452. Springer Verlag, ISBN978-3-540-76835-7DOI: 10.1007/978-3-540-76843-2_21
29 Título del trabajo: Lessons learnt from cluster computing: How they can be applied to grid environmentsNombre del congreso: 8th Hellenic European Research on Computer Mathematics and its ApplicationsConference (HERCMA 2007)Tipo evento: CongresoCiudad de celebración: Atenas, GreciaFecha de celebración: 20/09/2007Fecha de finalización: 22/09/2007Forma de contribución: Artículo científicoAlberto Sánchez; Toni Cortes; Jesús Montes; Pierre Gueant; María S. Pérez. "Lessons learnt from clustercomputing: How they can be applied to grid environments". En: Proceedings of the 8th Hellenic EuropeanResearch on Computer Mathematics and its Applications Conference (HERCMA 2007).
30 Título del trabajo: A Collaborative-Aware Task Balancing Delivery Model for ClustersNombre del congreso: 2007 International Conference on Grid and Pervasive Computing (GPC'07)Tipo evento: CongresoCiudad de celebración: París, FranciaFecha de celebración: 02/05/2007Fecha de finalización: 04/05/2007Forma de contribución: Artículo científicoJosé L. Bosque; Pilar Herrero; Manuel Salvadores; María S. Pérez. "A Collaborative-Aware Task BalancingDelivery Model for Clusters". En: Advances in Grid and Pervasive Computing. GPC 2007. Lecture Notesin Computer Science. 4459, pp. 146 - 157. Springer Verlag, ISBN 978-3-540-72359-2DOI: 10.1007/978-3-540-72360-8_13
31 Título del trabajo: On Board: Sharing Resources in a Collaborative Grid-TV EnvironmentNombre del congreso: IEEE/WIC/ACM International Conference on Web Intelligence (WI'06)Tipo evento: CongresoCiudad de celebración: Hong Kong, ChinaFecha de celebración: 18/12/2006Fecha de finalización: 22/12/2006Forma de contribución: Artículo científico
0fd8f32479096078872da28d1bc9a743
59
Pilar Herrero; José L. Bosque; Manuel Salvadores; María S. Pérez. "On Board: Sharing Resources in aCollaborative Grid-TV Environment". En: Proceedings of the IEEE/WIC/ACM International Conference onWeb Intelligence (WI'06). pp. 601 - 607. IEEE, ISBN 0-7695-2747-7DOI: 10.1109/WI.2006.124
32 Título del trabajo: Complex Data-Intensive Systems and Semantic Grid: Applications in Satellite MissionsNombre del congreso: Second IEEE International Conference on e-Science and Grid Computing 2006Tipo evento: CongresoCiudad de celebración: Amsterdam, HolandaFecha de celebración: 04/12/2006Fecha de finalización: 06/12/2006Forma de contribución: Artículo científicoManuel Sánchez-Gestido; Luis Blanco-Abruña; María S. Pérez; Rafael González-Cabero; AsunciónGómez-Pérez; Oscar Corcho. "Complex Data-Intensive Systems and Semantic Grid: Applications inSatellite Missions". En: Proceedings of the Second IEEE International Conference on e-Science and GridComputing 2006. IEEE, ISBN 0-7695-2734-5DOI: 10.1109/E-SCIENCE.2006.261045
33 Título del trabajo: A Collaborative Awareness Specification to Cover Load Balancing Delivery in CSCWGrid ApplicationsNombre del congreso: OTM Conferences 2006Tipo evento: CongresoCiudad de celebración: Montpellier, FranciaFecha de celebración: 29/10/2006Fecha de finalización: 03/11/2006Forma de contribución: Artículo científicoPilar Herrero; José L. Bosque; Manuel Salvadores; María S. Pérez. "A Collaborative AwarenessSpecification to Cover Load Balancing Delivery in CSCW Grid Applications". En: OTM Conferences 2006.Lecture Notes in Computer Science. 4277, pp. 78 - 89. Springer Verlag, ISBN 978-3-540-48269-7DOI: 10.1007/11915034_30
34 Título del trabajo: A Parallel Data Storage Interface to GridFTPNombre del congreso: OTM Conferences 2006Tipo evento: CongresoCiudad de celebración: Montpellier, FranciaFecha de celebración: 29/10/2006Fecha de finalización: 03/11/2006Forma de contribución: Artículo científicoAlberto Sánchez; María S. Pérez; Pierre Gueant; Jesús Montes; Pilar Herrero. "A Parallel Data StorageInterface to GridFTP". En: OTM Conferences 2006. Lecture Notes in Computer Science. 4276, pp. 1203 -1212. Springer Verlag, ISBN 978-3-540-48274-1DOI: 10.1007/11914952_10
35 Título del trabajo: AMBLE: An Awareness model for balancing the load in collaborative grid environmentsNombre del congreso: 7th IEEE/ACM International Conference on Grid ComputingTipo evento: CongresoCiudad de celebración: Barcelona, EspañaFecha de celebración: 28/09/2006Fecha de finalización: 29/09/2006Forma de contribución: Artículo científico
0fd8f32479096078872da28d1bc9a743
60
Pilar Herrero; José L. Bosque; Manuel Salvadores; María S. Pérez. "AMBLE: An Awareness model forbalancing the load in collaborative grid environments". En: Proceedings of the 7th IEEE/ACM InternationalConference on Grid Computing. pp. 246 - 253. IEEE, ISSN 2152-1085DOI: 10.1109/ICGRID.2006.311022
36 Título del trabajo: Semantic Grid Applications to Complex Satellite Mission SystemsNombre del congreso: 7th IEEE/ACM International Conference on Grid ComputingTipo evento: CongresoCiudad de celebración: Barcelona, EspañaFecha de celebración: 28/09/2006Fecha de finalización: 29/09/2006Forma de contribución: Artículo científicoManuel Sánchez-Gestido; Luis Blanco-Abruña; María S. Pérez; Rafael González-Cabero; AsunciónGómez-Pérez; Oscar Corcho. "Semantic Grid Applications to Complex Satellite Mission Systems". En:Proceedings of the 7th IEEE/ACM International Conference on Grid Computing. pp. 327 - 328. IEEE,ISSN 2152-1085DOI: 10.1109/ICGRID.2006.311042
37 Título del trabajo: Improving a satellite mission system by means of a semantic grid architectureNombre del congreso: 3rd GGF Semantic Grid - Global Grid Forum (GGF16)Tipo evento: CongresoCiudad de celebración: Atenas, GreciaFecha de celebración: 15/02/2006Fecha de finalización: 15/02/2006Forma de contribución: Artículo científicoManuel Sánchez-Gestido; María S. Pérez; Rafael González-Cabero; Asunción Gómez-Pérez. "Improvinga satellite mission system by means of a semantic grid architecture". En: Proceedings of the GGF16Semantic Grid Workshop.
38 Título del trabajo: ODEGSG Framework, Knowledge-based markup for Semantic Grid ServicesNombre del congreso: Third International Conference on Knowledge Capture (K-CAP 2005)Tipo evento: CongresoCiudad de celebración: Banff, CanadáFecha de celebración: 02/10/2005Fecha de finalización: 05/10/2005Forma de contribución: Artículo científicoCarole Goble; Asunción Gómez-Pérez; Rafael González-Cabero; María S. Pérez. "ODEGSG Framework,Knowledge-based markup for Semantic Grid Services". En: Proceedings of the Third InternationalConference on Knowledge Capture (K-CAP 2005). pp. 199 - 200. ACM,
39 Título del trabajo: Towards a Semantic Grid ArchitectureNombre del congreso: 1st CoreGrid Workshop on Knowledge and Data ManagementTipo evento: CongresoCiudad de celebración: Poznan, PoloniaFecha de celebración: 13/09/2005Fecha de finalización: 14/09/2005Forma de contribución: Artículo científicoIoannis Kotsiopoulos; Pinar Alper; Sean Bechhofer; Oscar Corcho; Carole Goble; Dean Kuo; Paolo Missier;María S. Pérez. "Towards a Semantic Grid Architecture". En: Proceedings of the 1st CoreGrid Workshopon Knowledge and Data Management.
0fd8f32479096078872da28d1bc9a743
61
40 Título del trabajo: A Report of Activities at the WIC-Spain Research CentreNombre del congreso: The 2004 IEEE/WIC/ACM International Joint Conference on Web Intelligence (WI2004) and Intelligent Agent Technology (IAT 2004)Tipo evento: CongresoCiudad de celebración: Beijing, ChinaFecha de celebración: 20/09/2004Fecha de finalización: 24/09/2004Publicación en acta congreso: SiForma de contribución: Artículo científicoPilar Herrero; María S. Pérez; Ernestina Menasalvas; Javier Segovia. "A Report of Activities at theWIC-Spain Research Centre". En: Proceedings of the IEEE/WIC/ACM International Conference on WebIntelligence, 2004. WI 2004.. IEEE, ISBN 0-7695-2100-2DOI: 10.1109/WI.2004.10134
41 Título del trabajo: Taking advantage of two levels of parallelism in an I/O architecture for gridsNombre del congreso: 1st Workshop on Science Application on Grid Computing on the 2004IEEE/WIC/ACM International Joint Conference on Web Intelligence (WI 2004) and Intelligent AgentTechnology (IAT 2004)Tipo evento: CongresoCiudad de celebración: Beijing, ChinaFecha de celebración: 20/09/2004Fecha de finalización: 24/09/2004Publicación en acta congreso: SiForma de contribución: Artículo científicoAlberto Sánchez; María S. Pérez; Pilar Herrero; Víctor Robles; José M. Peña. "Taking advantage of twolevels of parallelism in an I/O architecture for grids". En: Proceedings of the 1st Workshop on ScienceApplication on Grid Computing on the 2004 IEEE/WIC/ACM International Joint Conference on WebIntelligence (WI 2004) and Intelligent Agent Technology (IAT 2004).
42 Título del trabajo: Towards an Awareness Infrastructure for Grid Computing: Reinterpreting the SpatialModel of Interaction and its Key ConceptsNombre del congreso: 1st Workshop on Science Application on Grid Computing on the 2004IEEE/WIC/ACM International Joint Conference on Web Intelligence (WI 2004) and Intelligent AgentTechnology (IAT 2004)Tipo evento: CongresoCiudad de celebración: Beijing, ChinaFecha de celebración: 20/09/2004Fecha de finalización: 24/09/2004Publicación en acta congreso: SiForma de contribución: Artículo científicoPilar Herrero; María S. Pérez; Víctor Robles. "Towards an Awareness Infrastructure for Grid Computing:Reinterpreting the Spatial Model of Interaction and its Key Concepts". En: Proceedings of the 1stWorkshop on Science Application on Grid Computing on the 2004 IEEE/WIC/ACM International JointConference on Web Intelligence (WI 2004) and Intelligent Agent Technology (IAT 2004).
43 Título del trabajo: Un sistema de ficheros paralelo basado en servidores de datosNombre del congreso: XV Jornadas de ParalelismoTipo evento: Jornada Ámbito geográfico: NacionalCiudad de celebración: Almería, EspañaFecha de celebración: 15/09/2004Fecha de finalización: 17/09/2004Forma de contribución: Artículo científico
0fd8f32479096078872da28d1bc9a743
62
Alberto Sánchez; María S. Pérez; Víctor Robles; José M. Peña. "Un sistema de ficheros paralelo basado enservidores de datos". En: Proceedings de las XV Jornadas de Paralelismo.
44 Título del trabajo: Cooperation Model of a Multiagent Parallel File System for ClustersNombre del congreso: IEEE/ACM International Symposium on Cluster Computing and the Grid (CCGrid2004)Tipo evento: CongresoAutor de correspondencia: SiCiudad de celebración: Chicago, Estados Unidos de AméricaFecha de celebración: 19/04/2004Fecha de finalización: 22/04/2004Forma de contribución: Artículo científicoMaría S. Pérez; Alberto Sánchez; Víctor Robles; José M. Peña; Jemal Abawajy. "Cooperation Model ofa Multiagent Parallel File System for Clusters". En: Proceedings of the fourth IEEE/ACM InternationalSymposium on Cluster Computing and the Grid (CCGrid 2004). pp. 595 - 601. ISBN 0-7803-8430-XDOI: 10.1109/CCGrid.2004.1336665
45 Título del trabajo: Storage groups: A new approach for providing dynamic reconfiguration in data-basedclustersNombre del congreso: IASTED International Conference on Parallel and Distributed Computing andNetworks (PDCN 2004)Tipo evento: CongresoAutor de correspondencia: SiCiudad de celebración: Innsbruck, AustriaFecha de celebración: 17/02/2004Fecha de finalización: 19/02/2004Forma de contribución: Artículo científicoMaría S. Pérez; Alberto Sánchez; José M. Peña; Víctor Robles; Jesús Carretero; Félix García. "Storagegroups: A new approach for providing dynamic reconfiguration in data-based clusters". En: Proceedingsof the IASTED International Conference on Parallel and Distributed Computing and Networks (PDCN2004). pp. 70 - 75.
46 Título del trabajo: EDA paralelos multipoblación para el problema SATNombre del congreso: III Congreso Español de Metaheurísticas, Algoritmos Evolutivos y Bioinspirados(MAEB)Tipo evento: Jornada Ámbito geográfico: NacionalCiudad de celebración: Córdoba, EspañaFecha de celebración: 04/02/2004Fecha de finalización: 06/02/2004Forma de contribución: Artículo científicoVanesa Hervés; Pedro Larrañaga; Víctor Robles; José M. Peña; María S. Pérez; Francisco Rosales."EDA paralelos multipoblación para el problema SAT". En: Proceedings del III Congreso Español deMetaheurísticas, Algoritmos Evolutivos y Bioinspirados. pp. 357 - 364.
47 Título del trabajo: Learning Semi Näive Bayes Structures by Estimation of Distribution AlgorithmsNombre del congreso: 11th Portuguese Conference on Artificial IntelligenceTipo evento: CongresoCiudad de celebración: Beja, PortugalFecha de celebración: 04/12/2003Fecha de finalización: 07/12/2003Forma de contribución: Artículo científico
0fd8f32479096078872da28d1bc9a743
63
Víctor Robles; Pedro Larrañaga; José M. Peña; María S. Pérez; Ernestina Menasalvas; Vanesa Hervés."Learning Semi Näive Bayes Structures by Estimation of Distribution Algorithms". En: Proceedings of the11th Portuguese Conference on Artificial Intelligence. Lecture Notes in Artificial Intelligence. 2902, pp.244 - 258. Springer Verlag, ISBN 978-3-540-20589-0DOI: 10.1007/978-3-540-24580-3_31
48 Título del trabajo: Improvement of naïve Bayes collaborative filtering using interval estimationNombre del congreso: 2003 IEEE/WIC/ACM International Joint Conference on Web Intelligence andIntelligent Agent TechnologyTipo evento: CongresoCiudad de celebración: Halifax, CanadáFecha de celebración: 13/10/2003Fecha de finalización: 17/10/2003Publicación en acta congreso: SiForma de contribución: Artículo científicoVíctor Robles; Pedro Larrañaga; Ernestina Menasalvas; María S. Pérez; Vanesa Hervés. "Improvement ofnaïve Bayes collaborative filtering using interval estimation". En: Proceedings of the 2003 IEEE/WIC/ACMInternational Joint Conference on Web Intelligence and Intelligent Agent Technology. pp. 168 - 174.IEEE, ISBN 0-7695-1932-6DOI: 10.1109/WI.2003.1241189
49 Título del trabajo: An approach to estimate user sessions value dealing with multiple viewpoints and goalsNombre del congreso: First European Web Mining ForumTipo evento: CongresoCiudad de celebración: Cavtat-Dubrovnick, CroaciaFecha de celebración: 22/09/2003Fecha de finalización: 26/09/2003Forma de contribución: Artículo científicoErnestina Menasalvas; Socorro Millán; María S. Pérez; Esther Hochsztain; Andrómaca Tasistro. "Anapproach to estimate user sessions value dealing with multiple viewpoints and goals". En: Web Mining:From Web to Semantic Web, First European Web Mining Forum, Revised Selected and InvitedPapers. Lecture Notes in Artificial Intelligence. 3209, pp. 164 - 180. Springer Verlag, 2004. ISBN978-3-540-23258-2DOI: 10.1007/978-3-540-30123-3_10
50 Título del trabajo: Beyond user clicks: an algorithm and an agent-based architecture to discover userbehaviorNombre del congreso: 1st European Web Mining Forum. Workshop at ECML/PKDD-2003Tipo evento: CongresoCiudad de celebración: Cavtat-Dubrovnick, CroaciaFecha de celebración: 22/09/2003Fecha de finalización: 26/09/2003Publicación en acta congreso: SiForma de contribución: Artículo científicoErnestina Menasalvas; Socorro Millán; María S. Pérez; Esther Hochsztain; Víctor Robles; Oscar Marbán;José M. Peña; Andrómaca Tasistro. "Beyond user clicks: an algorithm and an agent-based architectureto discover user behavior". En: Proceedings of the 1st European Web Mining Forum. Workshop atECML/PKDD-2003. pp. 80 - 92. 2003. ISBN 953-6690-31-4
51 Título del trabajo: Parallel Interval Estimation Näive BayesNombre del congreso: XIV Jornadas de ParalelismoTipo evento: Jornada Ámbito geográfico: Nacional
0fd8f32479096078872da28d1bc9a743
64
Ciudad de celebración: Leganés, Madrid, EspañaFecha de celebración: 15/09/2003Fecha de finalización: 17/09/2003Forma de contribución: Artículo científicoVíctor Robles; María S. Pérez; José M. Peña; Vanesa Hervés; Pedro Larrañaga. "Parallel IntervalEstimation Näive Bayes". En: Proceedings de las XIV Jornadas de Paralelismo.
52 Título del trabajo: Parallel Stochastic search for protein secondary structure predictionNombre del congreso: 5th International Conference on Parallel Processing and Applied MathematicsTipo evento: CongresoCiudad de celebración: Czestochowa, PoloniaFecha de celebración: 07/09/2003Fecha de finalización: 10/09/2003Forma de contribución: Artículo científicoVíctor Robles; María S. Pérez; Vanesa Hervés; José M. Peña; Pedro Larrañaga. "Parallel Stochastic searchfor protein secondary structure prediction". En: Proceedings of the 5th International Conference onParallel Processing and Applied Mathematics. Lecture Notes in Computer Science. 3019, pp. 1162 -1169. Springer Verlag, ISBN 978-3-540-21946-0DOI: 10.1007/978-3-540-24669-5_149
53 Título del trabajo: Interval estimation näive bayesNombre del congreso: 5th International Symposium on Intelligent Data AnalysisTipo evento: CongresoCiudad de celebración: Berlin, AlemaniaFecha de celebración: 28/08/2003Fecha de finalización: 30/08/2003Forma de contribución: Artículo científicoVíctor Robles; Pedro Larrañaga; José M. Peña; Ernestina Menasalvas; María S. Pérez. "Interval estimationnäive bayes". En: Proceedings of the 5th International Symposium on Intelligent Data Analysis. LectureNotes in Computer Science. 2810, pp. 143 - 154. Springer Verlag, ISSN 0302-9743DOI: 10.1007/978-3-540-45231-7_14
54 Título del trabajo: A Flexible Multiagent Parallel File System for ClustersNombre del congreso: Third International Conference on Computational Science (ICCS 2003)Tipo evento: CongresoAutor de correspondencia: SiCiudad de celebración: Melbourne, AustraliaFecha de celebración: 02/06/2003Fecha de finalización: 04/06/2003Forma de contribución: Artículo científicoMaría S. Pérez; Jesús Carretero; Félix García; José M. Peña; Víctor Robles. "A Flexible Multiagent ParallelFile System for Clusters". En: Proceedings of the International Conference on Computational Science2003. Lecture Notes in Computer Science. 2660, pp. 248 - 256. ISBN 978-3-540-40197-1DOI: 10.1007/3-540-44864-0_26
55 Título del trabajo: Collaborative filtering using interval estimation näive BayesNombre del congreso: First International Atlantic Web Intelligence ConferenceTipo evento: CongresoCiudad de celebración: Madrid, EspañaFecha de celebración: 05/05/2003Fecha de finalización: 06/05/2003
0fd8f32479096078872da28d1bc9a743
65
Forma de contribución: Artículo científicoVíctor Robles; Pedro Larrañaga; José M. Peña; Oscar Marbán; José Crespo; María S. Pérez. "Collaborativefiltering using interval estimation näive Bayes". En: Advances in Web Intelligence. AWIC 2003. LectureNotes in Computer Science. 2663, pp. 46 - 53. Springer Verlag, ISBN 978-3-540-40124-7DOI: 10.1007/3-540-44831-4_6
56 Título del trabajo: MAPFS-Grid: A Flexible Architecture for Data-Intensive Grid ApplicationsNombre del congreso: First European Across Grids ConferenceTipo evento: CongresoAutor de correspondencia: SiCiudad de celebración: Santiago de Compostela, EspañaFecha de celebración: 13/02/2003Fecha de finalización: 14/02/2003Forma de contribución: Artículo científicoMaría S. Pérez; Jesús Carretero; Félix García; José M. Peña; Víctor Robles. "MAPFS-Grid: A FlexibleArchitecture for Data-Intensive Grid Applications". En: Grid Computing, First European Across GridsConference, Revised Papers. Lecture Notes in Computer Science. 2970, pp. 111 - 118. Springer Verlag,2004. ISBN 978-3-540-21048-1DOI: 10.1007/978-3-540-24689-3_14
57 Título del trabajo: Un sistema CBIR paralelo en clusterNombre del congreso: XIII Jornadas de ParalelismoTipo evento: Jornada Ámbito geográfico: NacionalAutor de correspondencia: SiCiudad de celebración: Lleida, EspañaFecha de celebración: 09/09/2002Fecha de finalización: 11/09/2002Forma de contribución: Artículo científicoMaría S. Pérez; María L. Córdoba; Manuel Nieto; Maria I. García; Óscar Robles; José L. Bosque; AntonioPérez; Ángel Rodríguez; Luis Pastor. "Un sistema CBIR paralelo en cluster". En: Proceedings de las XIIIJornadas de Paralelismo. pp. 371 - 376.
58 Título del trabajo: A Proposal for I/O Access Profiles in Parallel Data Mining AlgorithmsNombre del congreso: 3th Software Engineering, Artificial Intelligence, Networking and Parallel/DistributedComputing (SNPD 2002)Tipo evento: CongresoAutor de correspondencia: SiCiudad de celebración: Madrid, EspañaFecha de celebración: 26/06/2002Fecha de finalización: 28/06/2002Forma de contribución: Artículo científicoMaría S. Pérez; Ramón A. Pons; Félix García; Jesús Carretero; Víctor Robles. "A Proposal for I/O AccessProfiles in Parallel Data Mining Algorithms". En: Proceedings of the 3th Software Engineering, ArtificialIntelligence, Networking and Parallel/Distributed Computing (SNPD 2002). pp. 329 - 336.
59 Título del trabajo: PACOBIR: Un prototipo de un sistema CBIR distribuidoNombre del congreso: X Jornadas de ConcurrenciaTipo evento: Jornada Ámbito geográfico: NacionalCiudad de celebración: Huesca, EspañaFecha de celebración: 12/06/2002Fecha de finalización: 14/06/2002Forma de contribución: Artículo científico
0fd8f32479096078872da28d1bc9a743
66
Ángel Rodríguez; María L. Córdoba; Óscar Robles; Maria I. García; María S. Pérez; Manuel Nieto;Antonio Pérez; Luis Pastor; José L. Bosque. "PACOBIR: Un prototipo de un sistema CBIR distribuido". En:Proceedings de las X Jornadas de Concurrencia. pp. 337 - 352.
60 Título del trabajo: MAPFS_MAS: A Model of Interaction among Information Retrieval AgentsNombre del congreso: 2nd IEEE/ACM International Symposium on Cluster Computing and the Grid(CCGrid 2002).Tipo evento: CongresoTipo de participación: Participativo - PósterAutor de correspondencia: SiCiudad de celebración: Berlín, AlemaniaFecha de celebración: 21/05/2002Fecha de finalización: 24/05/2002Forma de contribución: Artículo científicoMaría S. Pérez; Félix García; Jesús Carretero. "MAPFS_MAS: A Model of Interaction among InformationRetrieval Agents". En: Proceedings of the 2nd IEEE/ACM International Symposium on ClusterComputing and the Grid (CCGrid 2002). pp. 264 - 265. IEEE, 2002. ISBN 0-7695-1582-7DOI: 10.1109/CCGRID.2002.1017135
61 Título del trabajo: A New MultiAgent Based Architecture for High Performance I/O in ClustersNombre del congreso: International Conference on Parallel Processing (ICPP 2001)Tipo evento: CongresoAutor de correspondencia: SiCiudad de celebración: Valencia, EspañaFecha de celebración: 03/09/2001Fecha de finalización: 07/09/2001Forma de contribución: Artículo científicoMaría S. Pérez; Félix García; Jesús Carretero. "A New MultiAgent Based Architecture for High PerformanceI/O in Clusters". En: Parallel Processing Workshops, 2001. International Conference on. IEEE, 2001.ISSN 1530-2016DOI: 10.1109/ICPPW.2001.951944
62 Título del trabajo: Diseño de un Sistema de Ficheros Paralelo Multiagente para ClustersNombre del congreso: XII Jornadas de ParalelismoTipo evento: Jornada Ámbito geográfico: NacionalAutor de correspondencia: SiCiudad de celebración: Valencia, EspañaFecha de celebración: 03/09/2001Fecha de finalización: 04/09/2001Forma de contribución: Artículo científicoMaría S. Pérez; Félix García; Jesús Carretero; José M. Pérez. "Diseño de un Sistema de Ficheros ParaleloMultiagente para Clusters". En: Proceedings de las XII Jornadas de Paralelismo. pp. 45 - 50.
0fd8f32479096078872da28d1bc9a743
67
Gestión de I+D+i y participación en comités científicos
Comités científicos, técnicos y/o asesores
1 Título del comité: International Conference for High Performance Computing, Networking, Storage andAnalysis, Supercomputing (SC 2019)Ciudad entidad afiliación: Denver, Estados Unidos de AméricaFecha de inicio-fin: 17/11/2019 - 22/11/2019
2 Título del comité: Platform for Advanced Scientific Computing (PASC) Conference 2019Ciudad entidad afiliación: Zurich, SuizaFecha de inicio-fin: 12/06/2019 - 14/06/2019
3 Título del comité: 19th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing, CCGrid2019Ciudad entidad afiliación: Larnaca, ChipreFecha de inicio-fin: 14/05/2019 - 17/05/2019
4 Título del comité: IEEE International Conference on Cluster Computing 2018, IEEE Cluster 2018Ciudad entidad afiliación: Belfast, Reino UnidoFecha de inicio-fin: 10/09/2018 - 13/09/2018
5 Título del comité: 18th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing, CCGrid2018Ciudad entidad afiliación: Washington, Estados Unidos de AméricaFecha de inicio-fin: 01/05/2018 - 04/05/2018
6 Título del comité: International Conference for High Performance Computing, Networking, Storage andAnalysis, Supercomputing (SC 2017)Ciudad entidad afiliación: Denver, Estados Unidos de AméricaFecha de inicio-fin: 12/11/2017 - 17/11/2017
7 Título del comité: 16th International Symposium on Parallel and Distributed Computing, ISPDC 2017Ciudad entidad afiliación: Innsbruck, AustriaFecha de inicio-fin: 03/07/2017 - 06/07/2017
8 Título del comité: ISC High Performance 2017Ciudad entidad afiliación: Frankfurt, AlemaniaFecha de inicio-fin: 18/06/2017 - 22/06/2017
9 Título del comité: International Conference on Massive Storage Systems and Technology, MSST 2017Ciudad entidad afiliación: Santa Clara, Estados Unidos de AméricaFecha de inicio-fin: 15/05/2017 - 19/05/2017
10 Título del comité: 12th International Meeting on High Performance Computing for Computational Science,VECPAR 2016Ciudad entidad afiliación: Oporto, PortugalFecha de inicio-fin: 28/06/2016 - 30/06/2016
0fd8f32479096078872da28d1bc9a743
68
11 Título del comité: International Conference on Massive Storage Systems and Technology, MSST 2016Ciudad entidad afiliación: Santa Clara, Estados Unidos de AméricaFecha de inicio-fin: 02/05/2016 - 06/05/2016
12 Título del comité: EuroMPI 2015Ciudad entidad afiliación: Burdeos, FranciaFecha de inicio-fin: 21/09/2015 - 24/09/2015
13 Título del comité: IEEE International Conference on Cluster Computing 2015, IEEE Cluster 2015Ciudad entidad afiliación: Chicago, Estados Unidos de AméricaFecha de inicio-fin: 08/09/2015 - 11/09/2015
14 Título del comité: Workshop on Adaptive Resource Management and Scheduling for Cloud Computing,ARMS-CC 2015Ciudad entidad afiliación: San Sebastián, EspañaFecha de inicio-fin: 20/07/2015 - 20/07/2015
15 Título del comité: International Conference on Massive Storage Systems and Technology, MSST 2015Ciudad entidad afiliación: Santa Clara, Estados Unidos de AméricaFecha de inicio-fin: 30/05/2015 - 05/06/2015
16 Título del comité: 15th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing, CCGrid2015Ciudad entidad afiliación: Shenzhen, ChinaFecha de inicio-fin: 04/05/2015 - 07/05/2015
17 Título del comité: The 6th IEEE Int. Conference on Cloud Computing Technology and Science, CloudCom2014Ciudad entidad afiliación: Singapur, SingapurFecha de inicio-fin: 15/12/2014 - 18/12/2014
18 Título del comité: International Conference for High Performance Computing, Networking, Storage andAnalysis, Supercomputing (SC 2014)Ciudad entidad afiliación: New Orleans, Estados Unidos de AméricaFecha de inicio-fin: 16/11/2014 - 21/11/2014
19 Título del comité: IEEE International Conference on Cluster Computing 2014, IEEE Cluster 2014Ciudad entidad afiliación: Madrid, EspañaFecha de inicio-fin: 22/09/2014 - 26/09/2014
20 Título del comité: EuroMPI 2014Ciudad entidad afiliación: Kyoto, JapónFecha de inicio-fin: 09/09/2014 - 09/09/2014
21 Título del comité: 20th International Conference Euro-Par 2014 Parallel Processing, EuroPar 2014Ciudad entidad afiliación: Oporto, PortugalFecha de inicio-fin: 25/08/2014 - 29/08/2014
22 Título del comité: 34th International Conference on Distributed Computing Systems, ICDCS 2014Ciudad entidad afiliación: Madrid, EspañaFecha de inicio-fin: 30/06/2014 - 03/07/2014
0fd8f32479096078872da28d1bc9a743
69
23 Título del comité: 42nd International Conference on Parallel Processing, ICPP 2013Ciudad entidad afiliación: Lyon, FranciaFecha de inicio-fin: 01/10/2013 - 04/10/2013
24 Título del comité: EuroMPI 2013Ciudad entidad afiliación: Madrid, EspañaFecha de inicio-fin: 15/09/2013 - 18/09/2013
25 Título del comité: 11th IEEE International Symposium on Parallel and Distributed Processing withApplications, ISPA 2013Ciudad entidad afiliación: Melbourne, AustraliaFecha de inicio-fin: 16/07/2013 - 18/07/2013
26 Título del comité: 10th IEEE International Symposium on Parallel and Distributed Processing withApplications, ISPA 2012Ciudad entidad afiliación: Leganés, Madrid, EspañaFecha de inicio-fin: 10/07/2012 - 13/07/2012
27 Título del comité: International Conference on P2P, Parallel, Grid, Cloud and Internet Computing, 3PGCIC2011Ciudad entidad afiliación: Barcelona, EspañaFecha de inicio-fin: 26/10/2011 - 28/10/2011
28 Título del comité: The 4th International Conference on Network and System Security, NSS 2010Ciudad entidad afiliación: Melbourne, AustraliaFecha de inicio-fin: 01/09/2010 - 03/09/2010
29 Título del comité: IEEE International Conference on Networking, Architecture and Storage, NAS 2010Ciudad entidad afiliación: Macau, ChinaFecha de inicio-fin: 15/07/2010 - 17/07/2010
30 Título del comité: The 1st Int. Conference on Cloud Computing, CloudCom 2009Ciudad entidad afiliación: Beijing, ChinaFecha de inicio-fin: 01/12/2009 - 04/12/2009
31 Título del comité: The 8th International Semantic Web Conference, ISWC 2009Ciudad entidad afiliación: Washington, Estados Unidos de AméricaFecha de inicio-fin: 25/10/2009 - 29/10/2009
32 Título del comité: The 3rd International Conference on Network and System Security, NSS 2009Ciudad entidad afiliación: Gold Coast, AustraliaFecha de inicio-fin: 19/10/2009 - 21/10/2009
33 Título del comité: International Conference on Autonomic and Autonomous Systems, ICAS 2009Ciudad entidad afiliación: Valencia, EspañaFecha de inicio-fin: 21/09/2009 - 25/09/2009
34 Título del comité: IEEE International Conference on Networking, Architecture and Storage, NAS 2009Ciudad entidad afiliación: Hunan, ChinaFecha de inicio-fin: 09/07/2009 - 11/07/2009
0fd8f32479096078872da28d1bc9a743
70
35 Título del comité: IEEE International Conference on High Performance Computing and Communications,HPCC 2008Ciudad entidad afiliación: Dalian, ChinaFecha de inicio-fin: 25/09/2008 - 27/09/2008
36 Título del comité: International IEEE Workshop on Storage Network Architecture and Parallel I/Os, SNAPI2008Ciudad entidad afiliación: Baltimore, Estados Unidos de AméricaFecha de inicio-fin: 22/09/2008 - 22/09/2008
37 Título del comité: International Workshop on Databases, Information Systems and P2P Computing,DBISP2P 2008Ciudad entidad afiliación: Auckland, Nueva ZelandaFecha de inicio-fin: 23/08/2008 - 23/08/2008
38 Título del comité: IEEE International Conference on Advanced Information Networking and Applications2008, AINA 2008Ciudad entidad afiliación: Okinawa, JapónFecha de inicio-fin: 25/03/2008 - 28/03/2008
39 Título del comité: 8th IEEE/ACM International Conference on Grid Computing, Grid 2007Ciudad entidad afiliación: Austin, Texas, Estados Unidos de AméricaFecha de inicio-fin: 19/09/2007 - 21/09/2007
40 Título del comité: The 3rd International Symposium on Dependable, Autonomic and Secure Computing,DASC'07Ciudad entidad afiliación: Columbia, Estados Unidos de AméricaFecha de inicio-fin: 25/07/2007 - 27/07/2007
41 Título del comité: The 4th International Conference on Autonomic and Trusted Computing, ATC 2007Ciudad entidad afiliación: Hong Kong, ChinaFecha de inicio-fin: 11/07/2007 - 13/07/2007
42 Título del comité: Atlantic Web Intelligence Conference, AWIC 2007Ciudad entidad afiliación: Fontainbleau, FranciaFecha de inicio-fin: 25/06/2007 - 27/06/2007
43 Título del comité: IEEE International Conference on Advanced Information Networking and Applications2007, AINA 2007Ciudad entidad afiliación: Niagara Falls, CanadáFecha de inicio-fin: 21/05/2007 - 23/05/2007
44 Título del comité: Grid Technologies for Knowledge-based Industries and Businesses Workshop,KnowledgeGrid 2006Ciudad entidad afiliación: Helsinki, FinlandiaFecha de inicio-fin: 23/11/2006 - 23/11/2006
45 Título del comité: 2nd International Workshop on Agents, Web Services and Ontologies Merging,AWESOME 2006Ciudad entidad afiliación: Montpellier, Francia
0fd8f32479096078872da28d1bc9a743
71
Fecha de inicio-fin: 29/10/2006 - 29/10/2006
46 Título del comité: 7th IEEE/ACM International Conference on Grid Computing, Grid 2006Ciudad entidad afiliación: Barcelona, EspañaFecha de inicio-fin: 28/09/2006 - 29/09/2006
47 Título del comité: IEEE International Conference on Cluster Computing 2006, IEEE Cluster 2006Ciudad entidad afiliación: Barcelona, EspañaFecha de inicio-fin: 25/09/2006 - 28/09/2006
48 Título del comité: Workshop on High-Performance I/O techniques and deployment of very large scale I/OSystems, HiperIO 2006Ciudad entidad afiliación: Barcelona, EspañaFecha de inicio-fin: 25/09/2006 - 27/09/2006
49 Título del comité: Computer and Network Security Symposium, IWCMC 2006Ciudad entidad afiliación: Vancouver, CanadáFecha de inicio-fin: 03/07/2006 - 06/07/2006
50 Título del comité: 6th IEEE/ACM International Conference on Grid Computing, Grid 2005Ciudad entidad afiliación: Seattle, Washington, Estados Unidos de AméricaFecha de inicio-fin: 13/11/2005 - 14/11/2005
51 Título del comité: International Workshop on Databases, Information Systems and P2P Computing,DBISP2P 2005Ciudad entidad afiliación: Trondheim, NoruegaFecha de inicio-fin: 28/08/2005 - 29/08/2005
52 Título del comité: Atlantic Web Intelligence Conference, AWIC 2005Ciudad entidad afiliación: Lodz, PoloniaFecha de inicio-fin: 06/06/2005 - 09/06/2005
53 Título del comité: Atlantic Web Intelligence Conference, AWIC 2004Ciudad entidad afiliación: Cancún, MéxicoFecha de inicio-fin: 16/05/2004 - 19/05/2004
Organización de actividades de I+D+i
1 Título de la actividad: SC 2019 - International Conference for High Performance Computing, Networking,Storage and Analysis, SupercomputingTipo de actividad: Member of the Poster Committee Ámbito geográfico: Internacional no UECiudad entidad convocante: Denver, Estados Unidos de AméricaFecha de inicio-fin: 17/11/2019 - 22/11/2019
2 Título de la actividad: IEEE Cluster ConferencesTipo de actividad: Steering Committee Ámbito geográfico: Internacional no UEFecha de inicio-fin: 09/2013 - 07/2018
0fd8f32479096078872da28d1bc9a743
72
3 Título de la actividad: SC 2017 - International Conference for High Performance Computing, Networking,Storage and Analysis, SupercomputingTipo de actividad: Member of the Poster Committee Ámbito geográfico: Internacional no UECiudad entidad convocante: Denver, Estados Unidos de AméricaFecha de inicio-fin: 12/11/2017 - 17/11/2017
4 Título de la actividad: The IEEE Cluster 2017 ConferenceTipo de actividad: Track Chair "Data, Storage and Visualization"Ciudad entidad convocante: Honolulu, Hawaii, Estados Unidos de AméricaFecha de inicio-fin: 05/09/2017 - 08/09/2017
5 Título de la actividad: Challenges and Opportunities of User-Level File Systems for HPCTipo de actividad: Participante en el DagstuhlSeminar 17202
Ámbito geográfico: Internacional no UE
Entidad convocante: Schloss DagstuhlCiudad entidad convocante: Dagstuhl, AlemaniaFecha de inicio-fin: 14/05/2017 - 19/05/2017
6 Título de la actividad: The IEEE Cluster 2016 ConferenceTipo de actividad: Member of the Poster CommitteeCiudad entidad convocante: Taipei, TaiwánFecha de inicio-fin: 13/09/2016 - 15/09/2016
7 Título de la actividad: SC 2015 - International Conference for High Performance Computing, Networking,Storage and Analysis, SupercomputingTipo de actividad: Member of the WorkshopCommittee
Ámbito geográfico: Internacional no UE
Ciudad entidad convocante: Austin, Texas, Estados Unidos de AméricaFecha de inicio-fin: 15/11/2015 - 20/11/2015
8 Título de la actividad: The IEEE Cluster 2014 ConferenceTipo de actividad: General chair Ámbito geográfico: Internacional no UEEntidad convocante: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Ciudad entidad convocante: Madrid, EspañaFecha de inicio-fin: 22/09/2014 - 26/09/2014
9 Título de la actividad: Big Data Science: The art of understanding huge volumes of dataTipo de actividad: Charla invitada Ámbito geográfico: Unión EuropeaEntidad convocante: INRIA Tipo de entidad: Centro de I+DCiudad entidad convocante: Rennes, FranciaFecha de inicio-fin: 10/12/2013 - 10/12/2013
10 Título de la actividad: CEDI 2013 - IV Congreso Español de InformáticaTipo de actividad: Comité organizador. Relacionesinstitucionales
Ámbito geográfico: Nacional
Entidad convocante: Universidad Politécnica deMadrid - Universidad Complutense de Madrid
Tipo de entidad: Universidad
Ciudad entidad convocante: Madrid, EspañaFecha de inicio-fin: 17/09/2013 - 20/09/2013
0fd8f32479096078872da28d1bc9a743
73
11 Título de la actividad: The Euro-Par 2013 ConferenceTipo de actividad: Global chair of Topic 5: Parallel and Distributed Data ManagementCiudad entidad convocante: Aachen, AlemaniaFecha de inicio-fin: 26/08/2013 - 30/08/2013
12 Título de la actividad: HPCS 2012 - The 2009 High Performance Computing and SimulationTipo de actividad: General co-chair Ámbito geográfico: Internacional no UEEntidad convocante: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Ciudad entidad convocante: Madrid, EspañaFecha de inicio-fin: 02/07/2012 - 06/07/2012
13 Título de la actividad: TrustCom-2011 - IEEE/IFIP International Symposium on Trusted Computing andCommunicationsTipo de actividad: Steering Committee Ámbito geográfico: Internacional no UECiudad entidad convocante: Changsha, ChinaFecha de inicio-fin: 16/11/2011 - 18/11/2011
14 Título de la actividad: The IEEE Cluster 2011 ConferenceTipo de actividad: Publicity and Communications CommitteeCiudad entidad convocante: Austin, Texas, Estados Unidos de AméricaFecha de inicio-fin: 26/09/2011 - 30/09/2011
15 Título de la actividad: The Euro-Par 2011 ConferenceTipo de actividad: Vice-chair of Topic 5: Parallel and Distributed Data ManagementCiudad entidad convocante: Burdeos, FranciaFecha de inicio-fin: 29/08/2011 - 02/09/2011
16 Título de la actividad: TrustCom-2010 - IEEE/IFIP International Symposium on Trusted Computing andCommunicationsTipo de actividad: Steering Committee Ámbito geográfico: Internacional no UECiudad entidad convocante: Hong Kong, ChinaFecha de inicio-fin: 11/12/2010 - 13/12/2010
17 Título de la actividad: HPCS 2010 - The 2010 High Performance Computing and SimulationTipo de actividad: Demos and Exhibits co-chair Ámbito geográfico: Internacional no UECiudad entidad convocante: Caen, FranciaFecha de inicio-fin: 28/06/2010 - 02/07/2010
18 Título de la actividad: FutureTech 2010 - The 5th International Conference on Future InformationTechnologyTipo de actividad: High Performance ComputingTrack Co-chair
Ámbito geográfico: Internacional no UE
Ciudad entidad convocante: Busan,Fecha de inicio-fin: 20/05/2010 - 24/05/2010
19 Título de la actividad: TrustCom-09 - IEEE/IFIP International Symposium on Trusted Computing andCommunicationsTipo de actividad: Program co-chair Ámbito geográfico: Internacional no UECiudad entidad convocante: Vancouver, CanadáFecha de inicio-fin: 29/08/2009 - 31/08/2009
0fd8f32479096078872da28d1bc9a743
74
20 Título de la actividad: HPCS 2009 - The 2009 High Performance Computing and SimulationTipo de actividad: Publicity co-chair Ámbito geográfico: Internacional no UECiudad entidad convocante: Leipzig, AlemaniaFecha de inicio-fin: 21/06/2009 - 24/06/2009
21 Título de la actividad: IberGrid 2009 - Iberian Grid Infrastructure Conference 2009Tipo de actividad: Scientific CommitteeCiudad entidad convocante: Valencia, EspañaFecha de inicio-fin: 20/05/2009 - 22/05/2009
22 Título de la actividad: GADA 2008 - International Conference on Grid Computing, high PerformAnce andDistributed Applications, OnTheMove Federated Conferences, OTM 2008Tipo de actividad: General Co-chair Ámbito geográfico: Internacional no UECiudad entidad convocante: Monterrey, MéxicoFecha de inicio-fin: 13/11/2008 - 14/11/2008
23 Título de la actividad: GADA 2007 - International Conference on Grid Computing, high PerformAnce andDistributed Applications, OnTheMove Federated Conferences, OTM 2007Tipo de actividad: General Co-chair Ámbito geográfico: Internacional no UECiudad entidad convocante: Algarve, PortugalFecha de inicio-fin: 25/11/2007 - 30/11/2007
24 Título de la actividad: Synergies between collaborative systems and gridsTipo de actividad: Tutorial impartido en the 8thIEEE/ACM International Conference on GridComputing (Grid 2007)
Ámbito geográfico: Internacional no UE
Ciudad entidad convocante: Austin, Texas, Estados Unidos de AméricaFecha de inicio-fin: 19/09/2007 - 21/09/2007
25 Título de la actividad: DDSM 2007 - Workshop Distributed Data and Storage System Management. ICCSA2007Tipo de actividad: Co-organizadora del workshop Ámbito geográfico: Internacional no UECiudad entidad convocante: Kuala Lumpur, MalasiaFecha de inicio-fin: 26/08/2007 - 30/08/2007
26 Título de la actividad: Grid Computing Meets Collaborative Environments: Why They Need Each OtherTipo de actividad: Tutorial impartido en 2007International Conference on Complex OpenDistributed Systems (CODS 2007)
Ámbito geográfico: Internacional no UE
Ciudad entidad convocante: Chengdu, ChinaFecha de inicio-fin: 22/07/2007 - 24/07/2007
27 Título de la actividad: GADA 2006 - International Conference on Grid Computing, high PerformAnce andDistributed Applications, OnTheMove Federated Conferences, OTM 2006Tipo de actividad: General Co-chair Ámbito geográfico: Internacional no UECiudad entidad convocante: Montpellier, FranciaFecha de inicio-fin: 02/11/2006 - 03/11/2006
0fd8f32479096078872da28d1bc9a743
75
28 Título de la actividad: ATC 2006 - The 3rd International Conference on Autonomic and Trusted ComputingTipo de actividad: Publication Chair Ámbito geográfico: Internacional no UECiudad entidad convocante: Wuhan, ChinaFecha de inicio-fin: 03/09/2006 - 06/09/2006
29 Título de la actividad: SDNS 2006 - The Second International Workshop on Security in Networks andDistributed Systems 2006Tipo de actividad: Program co-chair Ámbito geográfico: Internacional no UECiudad entidad convocante: Viena, AustriaFecha de inicio-fin: 18/04/2006 - 20/04/2006
30 Título de la actividad: GADA 2005 - Second International Workshop on Grid Computing and its Applicationto Data Analysis, OnTheMove Federated ConferencesTipo de actividad: General Co-chair Ámbito geográfico: Internacional no UECiudad entidad convocante: Agia Napa, ChipreFecha de inicio-fin: 31/10/2005 - 04/11/2005
31 Título de la actividad: IDA 2005 - Intelligent Data AnalysisTipo de actividad: Local organizer Ámbito geográfico: Internacional no UECiudad entidad convocante: Madrid, EspañaFecha de inicio-fin: 08/09/2005 - 10/09/2005
32 Título de la actividad: KDMG 2005 - First International Workshop on Knowledge and Data Mining Grid. 3rdAtlantic Web Intelligence Conference 2005, AWIC 2005Tipo de actividad: Co-chair Ámbito geográfico: Internacional no UECiudad entidad convocante: Lodz, PoloniaFecha de inicio-fin: 06/06/2005 - 09/06/2005
33 Título de la actividad: GSRM 2005 - First International Workshop on Grid Computing and ResourceManagement. International Conference on Computational Science 2005, ICCS 2005Tipo de actividad: Workshop organizer Ámbito geográfico: Internacional no UECiudad entidad convocante: Atlanta, Estados Unidos de AméricaFecha de inicio-fin: 22/05/2005 - 25/05/2005
34 Título de la actividad: GPP 2005- Second International Workshop on Grid Computing and Peer-to-PeerSystems. The 2005 International Conference on Computational Science and its Applications, ICCSA 2005Tipo de actividad: Workshop organizer Ámbito geográfico: Internacional no UECiudad entidad convocante: Singapur, SingapurFecha de inicio-fin: 09/05/2005 - 12/05/2005
35 Título de la actividad: GADA 2004 - First International Workshop on Grid Computing and its Application toData Analysis, OnTheMove Federated ConferencesTipo de actividad: General Co-chair Ámbito geográfico: Internacional no UECiudad entidad convocante: Agia Napa, ChipreFecha de inicio-fin: 25/10/2004 - 29/10/2004
36 Título de la actividad: SAG 2004 - First International Workshop on Scientific Applications on GridComputing. IEEE/WIC/ACM International Joint Conference on Web IntelligenceTipo de actividad: General co-chair Ámbito geográfico: Internacional no UECiudad entidad convocante: Beijing, ChinaFecha de inicio-fin: 20/09/2004 - 24/09/2004
0fd8f32479096078872da28d1bc9a743
76
37 Título de la actividad: Evolutionary Heuristic Optimization: Genetic Algorithms and Estimation ofDistribution AlgorithmsTipo de actividad: Academic Training Programme2003/2004. Coordinadora y profesora
Ámbito geográfico: Internacional no UE
Entidad convocante: CERN, European Laboratoryfor Particle Physics
Tipo de entidad: Centro de I+D
Ciudad entidad convocante: Ginebra, SuizaFecha de inicio-fin: 01/06/2004 - 04/06/2004
38 Título de la actividad: From Traditional I/O to Data Grid: A Roadmap of Parallel I/O and Data ManagementTipo de actividad: Ponencia invitada por Jemal H.Abawajy
Ámbito geográfico: Internacional no UE
Entidad convocante: Institute for Computer Science.Carleton University
Tipo de entidad: Universidad
Ciudad entidad convocante: Ottawa, CanadáFecha de inicio-fin: 19/12/2003 - 19/12/2003
39 Título de la actividad: The Euro-Par Conference SeriesTipo de actividad: Euro-Par Advisory BoardFecha de inicio: 08/2011
40 Título de la actividad: GAPermLib: A Genetic Algorithm Library for Permutation-based direct DataClustering in Large DatabasesTipo de actividad: Ponencia organizada dentro deuna estancia al National Research Center (NRC)
Ámbito geográfico: Internacional no UE
Entidad convocante: National Research Center Tipo de entidad: Centro de I+DCiudad entidad convocante: Ottawa, CanadáFecha de inicio: 12/2003
Gestión de I+D+i
1 Nombre de la actividad: Grupo de trabajo "User requirements" de EuroHPCTipología de la gestión: Miembro del WGFunciones desempeñadas: Miembro del grupo de trabajoEntidad de realización: EuroHPCFecha de inicio: 2018
2 Nombre de la actividad: The Networked European Software and Services Initiative (NESSI)Tipología de la gestión: Representación en el Board of Directors de la UPMFunciones desempeñadas: Representante de la UPMEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de inicio: 01/2017
3 Nombre de la actividad: Big Data Value Association (BDVA)Tipología de la gestión: Representación en el Board of Directors y en la Asamblea de la UPMFunciones desempeñadas: Representante de la UPM, Co-lead del subgrupo TF3.SG3 Big Data - HPCcollaborationEntidad de realización: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
0fd8f32479096078872da28d1bc9a743
77
Fecha de inicio: 01/2017
Evaluación y revisión de proyectos y artículos de I+D+i
1 Funciones desempeñadas: Revisora de 2 artículos de investigaciónEntidad de realización: Journal of SupercomputingModalidad de actividad: Revisión de artículos en revistas científicas o tecnológicasÁmbito geográfico: Internacional no UEFecha de inicio-fin: 07/2013 - 01/2016
2 Funciones desempeñadas: Revisora de 12 artículos de investigaciónEntidad de realización: Future Generation Computer SystemsModalidad de actividad: Revisión de artículos en revistas científicas o tecnológicasÁmbito geográfico: Internacional no UEFecha de inicio-fin: 11/2005 - 07/2006
3 Nombre de la actividad: Experto monitor en la evaluación de un proyecto H2020-EINFRA-2014-1Funciones desempeñadas: Experta monitoraEntidad de realización: Comisión europeaCiudad entidad realización: Bruselas, BélgicaFecha de inicio: 09/2018
4 Nombre de la actividad: Experto monitor en la evaluación de un proyecto H2020-EINFRA-2014-2Funciones desempeñadas: Experta monitoraEntidad de realización: Comisión europeaCiudad entidad realización: Bruselas, BélgicaFecha de inicio: 09/2018
5 Nombre de la actividad: Experto monitor en la evaluación de un proyecto H2020-EINFRA-2016-1Funciones desempeñadas: Experta monitoraEntidad de realización: Comisión europeaCiudad entidad realización: Luxemburgo, LuxemburgoFecha de inicio: 01/2018
6 Nombre de la actividad: Experto monitor en la evaluación de un proyecto H2020-EINFRA-2014-2Funciones desempeñadas: Experta monitoraEntidad de realización: Comisión europeaCiudad entidad realización: Bruselas, BélgicaFecha de inicio: 11/2017
7 Nombre de la actividad: Experto evaluador en el área de Ciencias de la Computación y TecnologíaInformática. Programa Torres Quevedo 2016Funciones desempeñadas: Experta evaluadoraEntidad de realización: Agencia Nacional deEvaluación y Prospectiva
Tipo de entidad: Agencia estatal
Ciudad entidad realización: Madrid, EspañaFecha de inicio: 07/2017
0fd8f32479096078872da28d1bc9a743
78
8 Nombre de la actividad: Experto monitor en la evaluación de un proyecto H2020-EINFRA-2015-1Funciones desempeñadas: Experta monitoraEntidad de realización: Comisión europeaCiudad entidad realización: Bruselas, BélgicaFecha de inicio: 06/2017
9 Nombre de la actividad: Experto evaluador en la convocatoria H2020-EINFRA-2017Funciones desempeñadas: Experta evaluadoraEntidad de realización: Comisión europeaCiudad entidad realización: Bruselas, BélgicaFecha de inicio: 05/2017
10 Nombre de la actividad: Experto monitor en la evaluación de un proyecto H2020-EINFRA-2014-2Funciones desempeñadas: Experta monitoraEntidad de realización: Comisión europeaCiudad entidad realización: Bruselas, BélgicaFecha de inicio: 04/2017
11 Nombre de la actividad: Experto externo y rapporteur en la acción COSTFunciones desempeñadas: Experta externa y rapporteurEntidad de realización: Comisión europeaCiudad entidad realización: Bruselas, BélgicaFecha de inicio: 02/2017
12 Nombre de la actividad: Experto monitor en la evaluación de un proyecto H2020-EINFRA-2014-2Funciones desempeñadas: Experta monitoraEntidad de realización: Comisión europeaCiudad entidad realización: Bruselas, BélgicaFecha de inicio: 01/2017
13 Funciones desempeñadas: Revisora de 1 artículo de investigaciónEntidad de realización: Artificial Intelligence ReviewModalidad de actividad: Revisión de artículos en revistas científicas o tecnológicasÁmbito geográfico: Internacional no UEFecha de inicio: 09/2016
14 Funciones desempeñadas: Revisora de 1 artículo de investigaciónEntidad de realización: ACM Transactions on StorageModalidad de actividad: Revisión de artículos en revistas científicas o tecnológicasÁmbito geográfico: Internacional no UEFecha de inicio: 08/2016
15 Nombre de la actividad: Experto evaluador en el área Transferencia de Tecnología. Programa ProyectosImpulso Tecnológico 2016Funciones desempeñadas: Experta evaluadoraEntidad de realización: Agencia Nacional deEvaluación y Prospectiva
Tipo de entidad: Agencia estatal
Ciudad entidad realización: Madrid, EspañaFecha de inicio: 07/2016
0fd8f32479096078872da28d1bc9a743
79
16 Nombre de la actividad: Experto evaluador en el área de Ciencias de la Computación y TecnologíaInformática. Programa Proyectos Retos-Colaboración 2016Funciones desempeñadas: Experta evaluadoraEntidad de realización: Agencia Nacional deEvaluación y Prospectiva
Tipo de entidad: Agencia estatal
Ciudad entidad realización: Madrid, EspañaFecha de inicio: 04/2016
17 Nombre de la actividad: Experto evaluador en el área de Ciencias de la Computación y TecnologíaInformática. Programa Ayudas Emplea 2015Funciones desempeñadas: Experta evaluadoraEntidad de realización: Agencia Nacional deEvaluación y Prospectiva
Tipo de entidad: Agencia estatal
Ciudad entidad realización: Madrid, EspañaFecha de inicio: 07/2015
18 Nombre de la actividad: Experto evaluador en el área de Ciencias de la Computación y TecnologíaInformática. Programa Torres Quevedo 2014Funciones desempeñadas: Experta evaluadoraEntidad de realización: Agencia Nacional deEvaluación y Prospectiva
Tipo de entidad: Agencia estatal
Ciudad entidad realización: Madrid, EspañaFecha de inicio: 07/2015
19 Nombre de la actividad: Experto evaluador en el área de Ciencias de la Computación y TecnologíaInformática. Programa Ayudas Emplea 2015Funciones desempeñadas: Experta evaluadoraEntidad de realización: Agencia Nacional deEvaluación y Prospectiva
Tipo de entidad: Agencia estatal
Ciudad entidad realización: Madrid, EspañaFecha de inicio: 06/2015
20 Nombre de la actividad: Experto evaluador en el área de Ciencias de la Computación y TecnologíaInformática. Programa Jóvenes Investigadores 2015Funciones desempeñadas: Experta evaluadoraEntidad de realización: Agencia Nacional deEvaluación y Prospectiva
Tipo de entidad: Agencia estatal
Ciudad entidad realización: Madrid, EspañaFecha de inicio: 01/2015
21 Nombre de la actividad: Experto evaluador en la Call H2020-EINFRA-2014-2Funciones desempeñadas: Experta evaluadoraEntidad de realización: Comisión europeaCiudad entidad realización: Bruselas, BélgicaFecha de inicio: 10/2014
22 Nombre de la actividad: Experto evaluador en el área de Ciencias de la Computación y TecnologíaInformática. Programa Infraestructuras 2014Funciones desempeñadas: Experta evaluadoraEntidad de realización: Agencia Nacional deEvaluación y Prospectiva
Tipo de entidad: Agencia estatal
Ciudad entidad realización: Madrid, España
0fd8f32479096078872da28d1bc9a743
80
Fecha de inicio: 09/2014
23 Nombre de la actividad: Revisión de proyectos PICT 2013Funciones desempeñadas: Revisora de proyectosEntidad de realización: Fondo para la Investigación Científica y Tecnológica (FONCYT) de ArgentinaCiudad entidad realización: Buenos Aires, ArgentinaFecha de inicio: 12/2013
24 Nombre de la actividad: Experto Evaluador Proyecto. EEP 025/12Funciones desempeñadas: Experta evaluadoraEntidad de realización: AIDIT: Agencia de Acreditación - FGUPM-UPC - de Proyectos de Investigación,Desarrollo e Innovación TecnológicaCiudad entidad realización: Madrid, EspañaFecha de inicio: 02/2012
25 Funciones desempeñadas: Revisora de 1 artículo de investigaciónEntidad de realización: Journal of Computer Science and TechnologyModalidad de actividad: Revisión de artículos en revistas científicas o tecnológicasÁmbito geográfico: Internacional no UEFecha de inicio: 05/2010
26 Nombre de la actividad: Experto Evaluador Proyecto. EEP 0358/09Funciones desempeñadas: Experta evaluadoraEntidad de realización: AIDIT: Agencia de Acreditación - FGUPM-UPC - de Proyectos de Investigación,Desarrollo e Innovación TecnológicaCiudad entidad realización: Madrid, EspañaFecha de inicio: 02/2010
27 Nombre de la actividad: Experto Evaluador Proyecto. EEP 125/08Funciones desempeñadas: Experta evaluadoraEntidad de realización: AIDIT: Agencia de Acreditación - FGUPM-UPC - de Proyectos de Investigación,Desarrollo e Innovación TecnológicaCiudad entidad realización: Madrid, EspañaFecha de inicio: 05/2008
28 Funciones desempeñadas: Revisora de 1 artículo de investigaciónEntidad de realización: Information SciencesModalidad de actividad: Revisión de artículos en revistas científicas o tecnológicasÁmbito geográfico: Internacional no UEFecha de inicio: 11/2006
29 Funciones desempeñadas: Revisora de 1 artículo de investigaciónEntidad de realización: Journal of Circuit, Systems and ComputersModalidad de actividad: Revisión de artículos en revistas científicas o tecnológicasÁmbito geográfico: Internacional no UEFecha de inicio: 11/2006
30 Nombre de la actividad: Experto Evaluador Proyecto. EEP 103/06Funciones desempeñadas: Experta evaluadoraEntidad de realización: AIDIT: Agencia de Acreditación - FGUPM-UPC - de Proyectos de Investigación,Desarrollo e Innovación Tecnológica
0fd8f32479096078872da28d1bc9a743
81
Ciudad entidad realización: Madrid, EspañaFecha de inicio: 05/2006
31 Nombre de la actividad: Experto Evaluador Proyecto. EEP 060/06Funciones desempeñadas: Experta evaluadoraEntidad de realización: AIDIT: Agencia de Acreditación - FGUPM-UPC - de Proyectos de Investigación,Desarrollo e Innovación TecnológicaCiudad entidad realización: Madrid, EspañaFecha de inicio: 02/2006
32 Nombre de la actividad: Experto Evaluador Proyecto. EEP 256/05Funciones desempeñadas: Experta evaluadoraEntidad de realización: AIDIT: Agencia de Acreditación - FGUPM-UPC - de Proyectos de Investigación,Desarrollo e Innovación TecnológicaCiudad entidad realización: Madrid, EspañaFecha de inicio: 10/2005
33 Nombre de la actividad: Experto evaluador de Proyectos en el dominio IST Grid Technologies, StrategicObjective 2.5.4 "Advanced Grid Technologies, Systems and Services"Funciones desempeñadas: Experta evaluadoraEntidad de realización: Comisión europeaCiudad entidad realización: Bruselas, BélgicaFecha de inicio: 10/2005
34 Funciones desempeñadas: Revisora de 1 artículo de investigaciónEntidad de realización: Journal of High Performance Computing and NetworkingModalidad de actividad: Revisión de artículos en revistas científicas o tecnológicasÁmbito geográfico: Internacional no UEFecha de inicio: 06/2005
35 Funciones desempeñadas: Revisora de 2 artículos de investigaciónEntidad de realización: Journal of Pervasive Computing and CommunicationsModalidad de actividad: Revisión de artículos en revistas científicas o tecnológicasÁmbito geográfico: Internacional no UEFecha de inicio: 05/2005
36 Funciones desempeñadas: Revisora de 2 artículos de investigaciónEntidad de realización: Journal of Data Mining and Knowledge DiscoveryModalidad de actividad: Revisión de artículos en revistas científicas o tecnológicasÁmbito geográfico: Internacional no UEFecha de inicio: 04/2005
37 Nombre de la actividad: Experto Evaluador Proyecto. EEP 203/04Funciones desempeñadas: Experta evaluadoraEntidad de realización: AIDIT: Agencia de Acreditación - FGUPM-UPC - de Proyectos de Investigación,Desarrollo e Innovación TecnológicaCiudad entidad realización: Madrid, EspañaFecha de inicio: 12/2004
0fd8f32479096078872da28d1bc9a743
82
38 Funciones desempeñadas: Revisora de 3 artículos de investigaciónEntidad de realización: Journal of Parallel and Distributed ComputingModalidad de actividad: Revisión de artículos en revistas científicas o tecnológicasÁmbito geográfico: Internacional no UEFecha de inicio: 08/2004
39 Funciones desempeñadas: Revisora de 1 artículo de investigaciónEntidad de realización: Parallel Processing LettersModalidad de actividad: Revisión de artículos en revistas científicas o tecnológicasÁmbito geográfico: Internacional no UEFecha de inicio: 02/2004
40 Funciones desempeñadas: Revisora de 2 artículos de investigaciónEntidad de realización: Cluster Computing JournalModalidad de actividad: Revisión de artículos en revistas científicas o tecnológicasÁmbito geográfico: Internacional no UEFecha de inicio: 07/2003
Otros méritos
Estancias en centros de I+D+i públicos o privados
1 Entidad de realización: University of Manchester Tipo de entidad: UniversidadFacultad, instituto, centro: Department of Computer ScienceCiudad entidad realización: Manchester, Reino UnidoFecha de inicio-fin: 22/05/2005 - 22/06/2005Objetivos de la estancia: Proyecto OntogridTareas contrastables: Investigación dentro del contexto del proyecto europeo Ontogrid
2 Entidad de realización: University of Nottingham Tipo de entidad: UniversidadFacultad, instituto, centro: School of Computer Science and Information TechnologyCiudad entidad realización: Nottingham, Reino UnidoFecha de inicio-fin: 24/07/2004 - 24/08/2004Objetivos de la estancia: PosdoctoralTareas contrastables: Colaboración a nivel de investigación en el Mixed Reality Laboratory
3 Entidad de realización: National Research Council Tipo de entidad: Centro de I+DCiudad entidad realización: Ottawa, CanadáFecha de inicio-fin: 01/10/2003 - 19/12/2003Objetivos de la estancia: PosdoctoralTareas contrastables: Colaboración a nivel de investigación con el grupo Integrated Reasoning Group
4 Entidad de realización: Universidad Austral de Chile Tipo de entidad: UniversidadFacultad, instituto, centro: Instituto de InformáticaCiudad entidad realización: Valdivia, ChileFecha de inicio-fin: 03/09/2003 - 17/09/2003Objetivos de la estancia: Invitado/aTareas contrastables: Impartición del curso "E/S paralela. MPI-IO: un caso de estudio" y colaboración anivel doctoral y de investigación
0fd8f32479096078872da28d1bc9a743
83
Ayudas y becas obtenidas
1 Nombre de la ayuda: Beca Amazon Web ServicesFinalidad: InvestigaciónEntidad concesionaria: Amazon Web Services Tipo de entidad: Entidad EmpresarialFecha de concesión: 10/2014Fecha de finalización: 10/2016Entidad de realización: Universidad Politécnica de MadridFacultad, instituto, centro: E.T.S. de Ingenieros Informáticos
2 Nombre de la ayuda: Beca Colaboración de la Universidad Politécnica de MadridFinalidad: Beca de colaboraciónEntidad concesionaria: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de concesión: 11/1997Fecha de finalización: 07/1998Entidad de realización: Universidad Politécnica de MadridFacultad, instituto, centro: Facultad de Informática
3 Nombre de la ayuda: Beca del Ministerio de Educación y CienciaFinalidad: Periodo universitarioEntidad concesionaria: MINISTERIO DE EDUCACION Y CIENCIAFecha de concesión: 1995Fecha de finalización: 1998Entidad de realización: Universidad Politécnica de MadridFacultad, instituto, centro: Facultad de Informática
4 Nombre de la ayuda: Beca del Departamento de Arquitectura de Computadores y Tecnología de SistemasInformáticosFinalidad: Beca de colaboración con DepartamentoEntidad concesionaria: Departamento de Arquitectura de Computadores y Tecnología de SistemasInformáticosFecha de concesión: 07/1996Fecha de finalización: 10/1997Entidad de realización: Universidad Politécnica de MadridFacultad, instituto, centro: Facultad de Informática
5 Nombre de la ayuda: Ayuda para la presentación de ponencias y comunicaciones. GADA 2008, Monterrey,Mexico. Noviembre 2008Finalidad: PosdoctoralEntidad concesionaria: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de concesión: 16/09/2008
6 Nombre de la ayuda: Ayuda para la presentación de ponencias y comunicaciones. GADA 2006,Montpellier, Francia. Noviembre 2006Finalidad: PosdoctoralEntidad concesionaria: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de concesión: 15/09/2006
0fd8f32479096078872da28d1bc9a743
84
7 Nombre de la ayuda: Ayuda para la realización de una estancia. Manchester, Reino Unido, Mayo 2005Finalidad: PosdoctoralEntidad concesionaria: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de concesión: 06/07/2005
8 Nombre de la ayuda: Ayuda para la realización de una estancia. Nottingham, Reino Unido, Agosto 2004Finalidad: PosdoctoralEntidad concesionaria: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de concesión: 09/06/2004
9 Nombre de la ayuda: Ayuda para la presentación de ponencias y comunicaciones. Parallel and DistributedComputing and Networks, Innsbruck, Feb 2004Finalidad: PosdoctoralEntidad concesionaria: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de concesión: 09/01/2004
10 Nombre de la ayuda: Ayuda para la presentación de ponencias y comunicaciones. InternationalConference on Computational Science, Melbourne, Junio 2003Finalidad: PredoctoralEntidad concesionaria: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de concesión: 12/05/2003
11 Nombre de la ayuda: Ayuda para la presentación de ponencias y comunicaciones. International Workshopon Metacomputing systems and applications, Valencia, Sept. 2001Finalidad: PredoctoralEntidad concesionaria: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Fecha de concesión: 11/07/2001
Consejos editoriales
1 Nombre del Consejo editorial: Journal of Information Technology, Communications and Convergence(IJITCC)Entidad de afiliación: Inderscience PublishersCiudad entidad afiliación: Ginebra, SuizaFecha de inicio: 2009
2 Nombre del Consejo editorial: Journal of Autonomic and Trusted Computing (JoATC)Entidad de afiliación: American Scientific Publishers(ASP)
Tipo de entidad: Asociaciones y Agrupaciones
Ciudad entidad afiliación: Valencia, California, Estados Unidos de AméricaFecha de inicio: 2005
0fd8f32479096078872da28d1bc9a743
85
Redes de cooperación
1 Nombre de la red: PlanetLab, https://www.planet-lab.orgIdentificación de la red: An open platform for developing, deploying, and accessing planetary-scaleservicesFecha de inicio: 05/2010 Duración: 1 año - 4 meses
2 Nombre de la red: Red española de e-CienciaIdentificación de la red: Organización coordinadora e impulsora de la e-Ciencia en España. Experta en elcomité de middleware de la red.Fecha de inicio: 06/2008 Duración: 2 años - 6 meses
3 Nombre de la red: Red temática para la coordinación de actividades Middleware en GridFecha de inicio: 01/10/2006 Duración: 3 años
4 Nombre de la red: Red española de minería de datos y aprendizajeIdentificación de la red: Colaboración de grupos relacionados con la minería de datos y el aprendizajeautomáticoFecha de inicio: 2004 Duración: 4 años
Premios, menciones y distinciones
1 Descripción: Best student paper award. 14th International Conference on Web Information Systems andTechnologies (WEBIST 2018)Entidad concesionaria: 14th International Conference on Web Information Systems and Technologies(WEBIST 2018)Ciudad entidad concesionaria: Sevilla, EspañaFecha de concesión: 09/2018
2 Descripción: Best student paper award finalist. Supercomputing 16 International Conference for HighPerformance Computing, Networking, Storage and AnalysisEntidad concesionaria: International Conference for High Performance Computing, Networking, Storageand AnalysisCiudad entidad concesionaria: Salt Lake City, Estados Unidos de AméricaFecha de concesión: 11/2016
3 Descripción: Premio Extraordinario de DoctoradoEntidad concesionaria: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Ciudad entidad concesionaria: Madrid, EspañaFecha de concesión: 12/2004
4 Descripción: Premio al Rendimiento AcadémicoEntidad concesionaria: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Ciudad entidad concesionaria: Madrid, EspañaFecha de concesión: 29/01/1996
0fd8f32479096078872da28d1bc9a743
86
Períodos de actividad investigadora
Nº de tramos reconocidos: 3Entidad acreditante: Universidad Politécnica deMadrid
Tipo de entidad: Universidad
Ciudad entidad acreditante: Madrid, Comunidad de Madrid, EspañaFecha de obtención: 01/01/2018
CV resumido de FRANCICO TIRADO
Francisco Tirado Fernández (Madrid, 1951) se licenció en Ciencias Físicas (especialidad de Cálculo Automático) en la UCM en 1973. Posteriormente se incorporó al grupo del Prof. García Santesmases, obteniendo el grado de doctor por la UCM en 1977.
Es profesor del Departamento de Arquitectura de Computadores y Automática desde 1978, primero como Profesor Adjunto de Automática y desde 1986 como Catedrático de Arquitectura y Tecnología de Computadores. Ha trabajado en diferentes áreas de investigación dentro de la arquitectura de sistemas, el procesamiento paralelo, la automatización del diseño de circuitos integrados y la bioinformática. Dentro de estos temas, impulsó activamente, la creación del grupo de Arquitectura de Computadores, que ha dado lugar al actual Departamento de Arquitectura de Computadores y Automática. También fue impulsor de la implantación de los estudios de Ingeniería Informática y de la creación de la Facultad de Informática de la UCM en 1991.
Ha participado de forma ininterrumpida en Proyectos de investigación con financiación nacional, europea y autonómica, desde 1973. En este período ha trabajado en 42 proyectos de investigación financiados en concurrencia competitiva, siendo investigador principal en 28 ocasiones. Es el principal inspirador y líder del actual Grupo de Arquitectura y Tecnología de Sistemas de Computación (ArTeCS) de la UCM, que en el último proyecto de investigación nacional ha aglutinado a un total de cuarenta investigadores, y que cuenta con un reconocido prestigio en los campos de la Computación de Altas Prestaciones y la Síntesis de Sistemas Digitales. Además, ha participado en diversos contratos de transferencia de tecnología a la industria, siendo IP en 8 ocasiones, en campos que abarcan desde la simulación de sistemas físicos, hasta la optimización de códigos paralelos, pasando por la automatización de procesos. También ha participado en la organización de más de 60 Congresos Internacionales de reconocido prestigio como General Chair, Program Chair, miembro del Comité de Programa, Presidente de Sesión, Conferenciante Invitado y revisor de artículos. Es autor de más de 200 publicaciones en revistas y conferencias internacionales. Su actividad investigadora está reconocida por la CNEAI con seis sexenios.
El profesor Tirado ha dirigido 15 tesis doctorales, y su descendencia científica, resultante de incluir las tesis doctorales que han sido dirigidas por sus discípulos directos, supera ampliamente la cuarentena.
Entre 1991 y 2008 ha contribuido a la configuración de los Planes Nacionales de I+D mediante su continuada presencia en las comisiones de elaboración de los mismos, así como mediante el desempeño de las funciones de Gestor en las etapas iniciales de los Planes de Nacionales, o las funciones de Coordinador del área de Ciencias de la Computación y Tecnologías Informáticas de la ANEP.
Durante los últimos años ha impulsado decididamente la consolidación profesional y la percepción social de la Informática como una disciplina científica en nuestro país, mediante la creación en 2009 de la Sociedad Científica Informática de España (SCIE), de la que ha sido su
primer Presidente. Previamente, en 2005 ya había protagonizado la creación de la Sociedad de Arquitectura y Tecnología de Computadores (SARTECO), integrada actualmente en SCIE, y de la que también ha sido el primer Presidente. En la actualidad es Presidente de Honor de SCIE y SARTECO. Desde 2013 participa como vocal en la junta directiva de la Confederación de Sociedades Científicas de España (COSCE).
Ha impulsado igualmente la creación de vínculos con Latinoamérica, lo que ha cristalizado en el establecimiento de numerosos proyectos de investigación conjuntos, realización de tesis doctorales y participación en la docencia de programas de posgrado en la Universidad Nacional de la Plata (Argentina), donde es codirector del programa, Universidad Nacional de Asunción (Paraguay), Universidad de Nacional de San Luis (Argentina), Universidad de Antioquia (Colombia), Universidad de los Andes (Venezuela), Universidad Nacional de San Agustín (Perú) y Universidad de Santiago de Chile (Chile).
Servicios prestados en Comités de Programa:
Mini and Microcomputers and Their Application (1985), EUROMICRO Conference (1991, 92, 93, 94, 95, 96, 97, 2000, 04, 05, 06, 07, 08, 09, 10), Parallel Computing and Transputer Applications (1992), International Symposium on Industrial Robots (1992), Parallel and Distributed Processing (PDP 1995, 96, 98, 99, 2000, 01, 02, 03, 04, 06, 07, 08, 09, 10, 11, 12, 13, 14,15,16,17,18), Parallel and Distributed Systems ( Euro-PDS 1997), Vector and Parallel Processing (VECPAR 1998, 2000, 02, 04, 06, 08, 10, 12), EURO PVM/MPI (1999, 2000, 01, 04, 05), Euromicro Digital Design Conference (DSD 2001, 02, 03), Euromicro Conference on Software Engineering and Advanced Applications (SEAA 2012), IEEE/ACM International Symposium on Microarchitecture (MICRO 2004), Workshop on Application Specific Processors (WASP 2004), Congreso Argentino de Ciencias de la Computación (CACIC 2005, 06, 07, 08, 09, 10, 11, 12,13, 14,15,16,17), Jornadas Iberoamericanas de Ingeniería de Software e Ingeniería del Conocimiento (JIISIC 2006, 07), IberGrid (2007, 08, 09, 10), International Conference on Complex Open Distributed Systems (CODS 2007), Conferencia Latinoamericana de Informática (CLEI 2007, 08, 09, 10, 11, 12,13,14,15,18)), Parallel Computing (ParCo2007, 09, 11,13, 15,17).
Servicios prestados como Program Chair/General Chair
Parallel and Distributed Processing (PDP 1998, 2005), EUROMICRO Conference (1998), IEEE International Symposium on High-Performance Computer Architecture (HPCA 2004), Parallel Computing (ParCo2005), Conferencia Latinoamericana de Informática (CLEI 2015)
Actividades de Gestión de la Investigación
Ha prestado un amplio abanico de servicios (especialmente en relación con los Planes Nacionales de I+D) entre los que destacan los siguientes: Secretario del Programa Nacional de Automatización Avanzada y Robótica (1988-1989), Gestor del Programa Nacional de
Automatización Avanzada y Robótica (1989-1991), Gestor del Programa Nacional de Tecnologías Avanzadas de Producción ( 1991-1992), Representante de España por la CYCIT en el “International Advanced Robotics Program” (1991-1996), Miembro del Comité del Campo 6 de la CNEAI (2000-2003), Presidente del Comité del Campo 6 de la CNEAI (2001-2003), Director del Centro de Supercomputación Complutense (2002-2007), Coordinador del área de Ciencias de la Computación y Tecnologías Informáticas de la ANEP (2003-2006), Responsable de Bioinformática del Parque Científico de Madrid (2002-2004), Director del Parque Científico de Madrid (2004-2006), Chairman del Conference Committee of EUROMICRO (1999-2004), Director de la Oficina de Apoyo a la Investigación de la UCM (2012-2013), Vicerrector de Investigación de la UCM (2013-2015 )
Actividades de Gestión Académica
Ha desempeñado puestos en casi todos los escalones de la jerarquía académica desde Director de Sección Departamental hasta Vicerrector, pasando por Vicedecano, Director de Departamento, durante 8 años (2005-2012), y Decano de la Facultad de Ciencias Físicas de la UCM, igualmente durante 8 años (1994-2002). También ha participado y presidido diversos comités de acreditación de titulaciones y evaluación de profesorado, tanto en el ámbito de las agencias de evaluación autonómicas como en la ANECA.
Otros servicios a la comunidad científica
Miembro del Patronato del Parque Científico de Madrid (2004-2006, 2011-), Miembro del Consejo Asesor Científico del Parque Científico de Madrid (2005-2007), Miembro de la Comisiones Nacionales de Acreditación ANECA (2007-2009), Miembro del Comité Ingenierías y Arquitectura para verificación de títulos en AGAE (2010-2016), Miembro de Comité de Acreditación ACSUG (2010-), Miembro del Comité de Evaluación de la Actividad Investigadora ACPUA (2006-2010), Miembro de la Comisión de expertos del programa TIC-TIN (1993, 1996, 1998, 2003, 04, 05, 09, 10, 11,12,13,14,16,17), Coordinador del área de Informática de la ACSUCyL( 2010-2015) , Miembro de la Comisión asesora de Investigación de la ACSUCyL (2015-), Miembro de las comisiones de la elaboración del II, III , V y VI Plan Nacional de I+D, Miembro de la Comisión de expertos del programa Ramón y Cajal y Juan de la Cierva (2003, 04, 05, 06, 10, 11,12,13,14,15,16).
Doctorados Honoris Causa
Universidad Nacional de San Agustín (Perú), 2008
Universidad Nacional de Asunción (Paraguay), 2010
Universidad de Almería (España), 2017
Universidad Nacional de la Plata (Argentina) 2018
Premio Nacional de Informática José García Santesmases 2013
Miembro de la Academia Europea (2018)
Publicaciones recientes en revistas de impacto
J. L. Imaña, J.M. Sánchez y F. Tirado. “Bit-Parallel Finite Field Multipliers for Irreducible Trinomials ”, IEEE Transactions on Computers , Vol 55, nº 5, pp 520-533, mayo 2006
J.L. Imaña, R hermida y F. Tirado. “"Low Complexity Bit-Parallel Multipliers Based on a Class of Irreducible Pentanomials” IEEE Transactions on Very Large Scale Integration Systems, Page(s): 1388-1393, Volume: 14, nº 12 , Dec. 2006
F. Castro, D. Chaver, L. Piñuel, M. Prieto1, M. C. Huang, F. Tirado. “A Load-Store Queue Design based on Predictive State Filtering”, Journal of Low Power Electronics, Vol2, nº 1, pp 27-36, 2006
Pedro Carmona-Saez, Roberto D. Pascual-Marqui, F. Tirado, Jose M. Carazo, Alberto Pascual-Montano, “Biclustering of gene expression data by non-smoothnon-negative matrix factorization”, BMC Bioinformatics 2006, 7:79 , February 2006.
F. Castro, D. Chaver, L. Piñuel, M. Prieto1, M. C. Huang, F. Tirado. “LSQ: a power efficient and scalable implementation”, IEE Proc. Computers & Digital Techniques, Nov 2006, Vol. 153, Issue 6, p389-398,
Alberto Pascual-Montano, Pedro Carmona-Saez, Monica Chagoyen, Francisco Tirado, Jose M. Carazo and Roberto D. Pascual-Marqui, “ bioNMF: A versatile tool for non-negative matrix factorization in biology”, BMC Bioinformatics 2006, 7:366, Julio, 2006.
Pedro Carmona-Saez, Monica Chagoyen, Francisco Tirado, Jose M Carazo and Alberto Pascual- Montano “ GENECODIS: A web-based tool for finding significant concurrent annotations in gene lists” Genome Biology 2007, 8:R3 , 2007
J. Setoain, M. Prieto, C. Tenllado, A. Plaza, F. Tirado, "Parallel morphological endmember extraction using commodity graphics hardware", IEEE Geoscience and Remote Sensing Letters, Vol. 4, No. 3, pp 441-446, 2007.
C. Tenllado, J. Setoain, M. Prieto, L. Piñuel, F. Tirado, “Parallel implementation of the 2D Discrete Wavelet Transform on Graphics Processing Units: Filter-Bank versus Lifting”, IEEE Transactions on Parallel and Distributed Systems, Vol 19, n 3, pp299-310, 2008
F. Castro, R E.Mejía-Roa, P. Carmona-Saez,R. Nogales, C. Vicente,C. García, F. Tirado, A. Pascual-Montano, “bioNMF: A web-based tool for Non-negative Matrix Factorization in biology”, Nucleic Acids Research, 2008, Vol 36 :W523-W528; doi:10.1093/nar/gkn335
J. Setoain, M. Prieto, C. Tenllado, A. Plaza, F. Tirado, " GPUs for Parallel On-Board Hyperspectral Image Processing”, International Journal of High Performance Computing Applications, vol 22, nº4, pp424-437. 2008
F. Castro, D. Chaver, L. Piñuel, M. Prieto, F. Tirado, “Memory Disambiguation Hardware: a Review”, Journal of Computer Science & Technology, Vol 8, Nº 3, pp. 132-138, Octubre 2008. ISSN: 1666-6038
S. del Pino, D. Chaver, L. Pinuel, M. Prieto, F. Tirado “Energy reduction of the fetch mechanism through dynamic adaptation” IET Computers & Digital Techniques, Vol. 2, No. 2, March 2008 pp, 94-107
F. Castro, Noor, A. Garg, D. Chaver, M. Huang, L. Pinuel, M. Prieto, F. Tirado “Replacing Associative Load Queues: A Timing-Centric Approach” IEEE Transactions on Computers. Vol. 58, Nº 4, pp. 496-511, 2009
M. Vazquez, P. Carmona-Saez Pedro, R. Nogales-Cadenas, M. Chagoyen,JM. Carazo, F. Tirado,A. Pascual-Montano, “SENT: Semantic Features in Text”, Nucleic Acids Research, 2009, 1–7, doi:10.1093/nar/gkp392
R. Nogales-Cadenas, P. Carmona-Saez, M. Vazquez, C. Vicente,X. Yang,F. Tirado, J.M.Carazo, A. Pascual-Montano, “GeneCodis: interpreting gene lists through enrichment analysis and integration of diverse biological information”,Nucleic Acids Research, 2009, 1–6, doi:10.1093/nar/gkp416
F. Castro, D. Chaver, L. Pinuel, M. Prieto, F. Tirado “Using Age Registers for a simple Load-Store Queue Filtering” Journal of Systems Architecture. Vol. 55, nº2, pp 79-89, 2009.
Vazquez, M., R. R. Nogales-Cadenas, J. Arroyo, P. Botias, R. Garcia, J. M. Carazo, F. Tirado, A. Pascual-Montano, and P. Carmona-Saez, "MARQ: an online tool to mine GEO for experiments with similar or opposite gene expression signatures", Nucleic Acids Research, 05/2010, doi: 10.1093/nar/gkq476
Gonzalez-Alberquilla, R., Castro F., Piñuel L., and Tirado F. “Stack filter: Reducing L1 data cache power consumption”, Journal of Systems Architecture, 12/2010, Volume 56, Issue 12, p.685- 695, (2010)
Apolloni, R. G., D. Chaver, F. Castro, L. Piñuel, M. Prieto, and F. Tirado, "A hybrid timing- address oriented LSQ filtering for an x86 architecture", IET - Computers and Digital Techniques, 2011;5(2):145-57
Guillermo Botella , Diego Gonzalez, Uwe Meyer-baese, Carlos Garcia, Manuel Matias, Francisco Tirado, “Low cost matching motion estimation sensor based-on Nios II Microprocessor”, Sensors 2012, 11, doi:10.3390/s110x0000x
Carazo P., Apolloni R., Castro F.,Chaver D., Pinuel L., Tirado F. “Reducing cache hierarchy consumption by predivting forwarding and disabling associative sets”, Journal of Circuits, Systems, and Computers, Vol. 21, No. 7 (2012) 1250057 (23 pages), DOI: 10.1142/S0218126612500570
Ayuso, F., G. Botella, C. García, M. Prieto, and F. Tirado, "GPU-based acceleration of bio- inspired motion estimation model", Concurrency and Computation: Practice and Experience, Vol 25, pp 1037-1056, 2013
J. L. Imanña, R. Hermida,,F.Tirado. “Low complexity bit-parallel polynomial basis multipliers over binary fields for special irreducible pentanomials”, Integration VLSI Journal, Volume 46, Issue 2, March 2013, Pages 197–210.
Garcia C., Botella G., Ayuso F., Prieto M., Tirado F., “Multi-GPU based on multicriteria optimization for motion estimation system “, EURASIP Journal on Advances in Signal Processing 2013, doi:10.1186/1687-6180-2013-23
Gonzalez D, Botella G, Garcia C, Prieto M, Tirado F. Acceleration of block-matching algorithms using a custom instruction-based paradigm on a Nios II microprocessor. EURASIP Journal on Advances in Signal Processing, 2013:118 doi:10.1186/1687-6180-2013-118
Jelena Poljak 1, Guillermo Botella 2,*, Carlos García 2, Sanja Mahović Poljaček 1, Manuel P. Matías 2 and Francisco Tirado, “ Offset Printing Plate Quality Sensor on a Low-Cost Processor”, Sensors 2013, 13, 14277-14300; doi:10.3390/s131114277
Francisco D Igual, Guillermo Botella, Carlos García, Manuel Prieto, Francisco Tirado, “Robust Motion Estimation on a Low-power Multi-core DSP “,EURASIP Journal on Advances in Signal Processing 2013, 2013:99 doi:10.1186/1687-6180-2013-99
Carlos García Sánchez, Guillermo Botella Juan, Fermín Ayuso Márquez, Diego González Rodríguez, Manuel Prieto-Matías, and Francisco Tirado, “Implementation of a Low-Cost Mobile Devices to Support Medical Diagnosis” , Computational and Mathematical Methods in Medicine,Volume 2013, http://dx.doi.org/10.1155/2013/287089
Garcia C, Botella G, Ayuso F, Prieto M, Tirado F. Multi-GPU based on multicriteria optimization for motion estimation system. EURASIP Journal on Advances in Signal Processing. 2013;23. DOI:10.1186/1687-6180-2013-23
E. Sedano, Sepulveda, S., Castro, F., Chaver, D., Gonzalez-Alberquilla, R., and Tirado, F., “Improving pelifo cache replacement policy: hardware reduction and thread-aware extension”, Journal of Circuits, Systems, and Computers (JCSC), vol. 23, 2014. [29 pages] DOI: 10.1142/S0218126614500467
R. Rodríguez-Rodríguez, F. Castro, D. Chaver, R. Gonzalez-Alberquilla, L. Piñuel and F. Tirado. Write-Aware Replacement Policies for PCM-Based Systems, The Computer Journal, 2015, DOI:10.1093/comjnl/bxu104
Edgardo Mejía-Roa, Daniel Tabas-Madrid, Javier Setoain, Carlos García, Francisco Tirado and Alberto Pascual-Montano, NMF-mGPU: Non-negative matrix factorization on multi-GPU systems, BMC Bioinformatics, 2015,16:43, DOI: 10.1186/s12859-015-0485-4
Gonzalez-Alberquilla R, Castro F, Piñuel L, Tirado F, “CEPRAM: Compression for Endurance in PCM RAM”, Journal of Circuits, Systems, and Computers (JCSC), 26 (11), 2017,
Igual F, García C, Botella G, Piñuel L, Prieto-Matías M, Tirado F, “Non-negative Matrix Factorization on Low- Power Architectures and Accelerators: A Comparative Study”, Journal Computers and Electrical Engineering, 46 , pp. 139-156, 2015, ISSN: 0045-7906.