Computer Architecture Chapter 4 Assessing and Understanding Performance Yu-Lun Kuo 郭育倫...

53
Computer Architecture Chapter 4 Assessing and Understanding Performance Yu-Lun Kuo 郭郭郭 Department of Computer Science and Information Engineering Tunghai University, Taichung, Taiwan R.O.C. [email protected] http://www.csie.ntu.edu.tw/~d95037/

Transcript of Computer Architecture Chapter 4 Assessing and Understanding Performance Yu-Lun Kuo 郭育倫...

Computer ArchitectureChapter 4

Assessing and Understanding

PerformanceYu-Lun Kuo 郭育倫

Department of Computer Science and Information Engineering

Tunghai University, Taichung, Taiwan R.O.C.

[email protected] http://www.csie.ntu.edu.tw/~d95037/

Opening

Indeed, the cost-performance ratio of the product will depend most heavily on the implementer, just as ease of use depends most heavily on the architect.

The Mythical Man-Month, Brooks

2

Introduction

• Measure, Report, and Summarize• Make intelligent choices• See through the marketing hype• Key to understanding underlying

organizational motivation– Why is some hardware better than others for

different programs?– What factors of system performance are hardware

related? (e.g., Do we need a new machine, or a new operating system?)

– How does the machine’s instruction set affect performance?

3

Best Performance

• How much faster is the Concorde compared to the 747?

• How much bigger is the 747 than the Douglas DC-8?

Response Time

Throughput

Performance Metrics

• Purchasing perspective – given a collection of machines, which has the

• best performance ?• least cost ?• best cost/performance?

• Design perspective– faced with design options, which has the

• best performance improvement ?• least cost ?• best cost/performance?

5

Performance Metrics

• Both require– Basis for comparison– Metric for evaluation

• Our goal is to understand what factors in the architecture – Contribute to overall system performance– The relative importance (and cost) of these factors

6

• Response Time (latency)(execution time)– The total time required for the computer to compute

a task, including disk access, memory access, I/O activities, OS overhead, CPU execution time, and so on.

• How long does it take for my job to run?• How long does it take to execute a job?• How long must I wait for the database query?

• Throughput ( 生產量 )– How many jobs can the machine run at once?– What is the average execution rate?– How much work is getting done?

Computer Performance

Computer Performance

• If we upgrade a machine with a new processor what

do we increase?

• If we add a new machine to the lab what do we

increase?

8

• Elapsed Time – Counts everything (disk and memory accesses, I/O,

etc.)– A useful number, but often not good for comparison

purposes

• CPU time– Doesn’t count I/O or time spent running other

programs– Can be broken up into system time, and user time

• Our focus: user CPU time – Time spent executing the lines of code that are “in”

our program

Measuring Performance

Example (Linux instruction)

• Time instruction: “time”• > 90.7u 12.9s 2:39 65%

• CPU time ratio: (90.7+12.9) / 159 = 65%– I/O time more than 1/3

10

Book’s Definition of Performance

• Normally interested in reducing– Response time (aka execution time) – the time

between the start and the completion of a task• Important to individual users

– Thus, to maximize performance, need to minimize execution time

11

performanceX = 1 / execution_timeX

If X is n times faster than Y, then

performanceX execution_timeY -------------------- = --------------------- = nperformanceY execution_timeX

Book’s Definition of Performance

• Throughput– The total amount of work done in a given time

• Important to data center managers

• Decreasing response time almost always improves throughput

12

• Problem: – Machine X runs a program in 10 seconds– Machine Y runs the same program in 15 seconds

Book’s Definition of Performance

Performance Factors

• Want to distinguish elapsed time and the time spent on our task

• CPU execution time (CPU time) – time the CPU spends working on a task– Does not include time waiting for I/O or running

other programs

14

Performance Factors

15

CPU execution time # CPU clock cycles for a program for a program clock rate = -------------------------------------------

Can improve performance by reducing either the length of the clock cycle or the number of clock cycles required for a program

or

CPU execution time # CPU clock cycles for a program for a program = x clock cycle

time

Clock Cycles• Instead of reporting execution time in seconds,

we often use cycles– Clock “ticks” indicate when to start activities– Cycle time = time between ticks = seconds per cycle– Clock rate (frequency) = cycles per second (1Hz = 1

cycle/sec)– A 4GHz clock has a cycle time

)( sec 250)sec(25.0910 9104

1 pspiconsnano

Review: Machine Clock Rate

• Clock rate (MHz, GHz) is inverse of clock cycle time (clock period)

CC = 1 / CR

17

one clock period

10 nsec clock cycle => 100 MHz clock rate 5 nsec clock cycle => 200 MHz clock rate 2 nsec clock cycle => 500 MHz clock rate 1 nsec clock cycle => 1 GHz clock rate500 psec clock cycle => 2 GHz clock rate250 psec clock cycle => 4 GHz clock rate200 psec clock cycle => 5 GHz clock rate

• So, to improve performance (everything else being equal) you can either (increase or decrease?)_decrease_ the # of required cycles for a program, or_decrease_ the clock cycle time or, said another way, _increase_ the clock rate.

How to Improve Performance

• Could assume that number of cycles equals number of instructions

– This assumption is incorrect, different instructions take different amounts of time on different machines.Why? hint: remember that these are machine instructions, not lines of C code

time

1st

inst

ruct

ion

2nd

inst

ruct

ion

3rd

inst

ruct

ion

4th

5th

6th ...

How many cycles are required for a program?

Different Numbers of Cycles for Different Instructions

• Multiplication takes more time than addition• Floating point operations take longer than

integer ones• Accessing memory takes more time than

accessing registers• Important point: changing the cycle time often

changes the number of cycles required for various instructions (more later)

time

• Our favorite program runs in 10 seconds on computer A, which has a 4GHz clock.

• We are trying to help a computer designer build a new machine B, that will run this program in 6 seconds. – The designer can use new (or perhaps more

expensive) technology to substantially increase the clock rate, but has informed us that this increase will affect the rest of the CPU design, causing machine B to require 1.2 times as many clock cycles as machine A for the same program. What clock rate should we tell the designer to target?

Improving Performance

Improving Performance

Improving Performance

Clock Cycles per Instruction (CPI)

• Not all instructions take the same amount of time to execute– One way to think about execution time is that it

equals the number of instructions executed multiplied by the average time per instruction

24

# CPU clock cycles # Instructions Average clock cycles

for a program for a program per instruction = x

Clock Cycles per Instruction (CPI)

• Clock cycles per instruction (CPI) – The average number of clock cycles each

instruction takes to execute– A way to compare two different implementations of

the same ISA

25

CPI for this instruction class

A B C

CPI 1 2 3

Effective CPI

• Computing the overall effective CPI is done by looking at the different types of instructions and their individual cycle counts and averaging

Where ICi is the count (percentage) of the number of instructions of class i executed

CPIi is the (average) number of clock cycles per instruction for that instruction class

n is the number of instruction classes

26

Overall effective CPI = (CPIi x ICi)i = 1

n

Performance Equation

• Our basic performance equation is then

27

CPU time = Instruction_count x CPI x clock_cycle

or

Instruction_count x CPI

clock_rate CPU time = -----------------------------------------------

Determinates of CPU Performance

• CPU time = Instruction_count x CPI x clock_cycle

28

Instruction_count

CPI clock_cycle

Algorithm

Programming language

Compiler

ISA

Processor organization

Technology

Determinates of CPU Performance

• CPU time = Instruction_count x CPI x clock_cycle

29

Instruction_count

CPI clock_cycle

Algorithm

Programming language

Compiler

ISA

Processor organization

TechnologyX

XX

XX

X X

X

X

X

X

X

• Suppose we have two implementations of the same instruction set architecture (ISA). – For some program, Machine A has a clock cycle

time of 250 ps and a CPI of 2.0 – Machine B has a clock cycle time of 500 ps and a

CPI of 1.2 – What machine is faster for this program, and by

how much?

• If two machines have the same ISA which of our quantities (e.g., clock rate, CPI, execution time, # of instructions, MIPS) will always be identical?

CPI Example

31

• 假設相同指令集架構 (ISA) 下的兩種機器設計 • 對某一個程式的執行

– Machine A has a clock cycle time of 10 ns. and a CPI of 2.0

– Machine B has a clock cycle time of 20 ns. and a CPI of 1.2

• 那一台機器比較快 , 而且快多少 ?• 假使有兩台機器有相同的 ISA, 下面的那個計算效

能值不變 (e.g., clock rate, CPI, execution time, # of instructions, MIPS:million instructions per second)?

CPI Example (2)

32

Improving Performance

• 因為是同樣的程式在不同的機器上執行 , 所以執行的指令數相同 , 但執行時間不同

• 假設這個程式有 I 個指令數• CPU clock cyclesA=I*2.0

• CPU clock cyclesB=I*1.2

• CPU time = CPU clocks cycles * clock cycle time

• CPU performanceA/CPU performanceB = ExecutionB / ExecutionA = (1.2*20)/(2*10)=1.2

Improving Performance

• A given program will require– some number of instructions (machine instructions)– some number of cycles– some number of seconds

• We have a vocabulary that relates these quantities:– cycle time (seconds per cycle)– clock rate (cycles per second)– CPI (cycles per instruction)

a floating point intensive application might have a higher CPI

– MIPS (millions of instructions per second)this would be higher for a program using simple instructions

Now that We Understand Cycles

Performance

• Performance is determined by execution time

• Do any of the other variables equal performance?– # of cycles to execute program?– # of instructions in program?– # of cycles per second?– average # of cycles per instruction?– average # of instructions per second?

時脈週期秒

指令數時脈週期

程式數指令

程式秒

)()(

36

Performance - Time

Cycle Clock

Seconds

nInstructio

Cycles Clock

Program

nsInstructioTime

rateClock

CPI count n Instructio timeCPU

timecycleClock CPI count

nInstructiotimeCPU

# of Instructions Example

# of Instructions Example

# of Instructions Example

Performance: What to measure

• Usually rely on benchmarks vs. real workloads• To increase predictability, collections of

benchmark applications-- benchmark suites -- are popular

• SPECCPU: popular desktop benchmark suite– CPU only, split between integer and floating point programs

– SPECint2000 has 12 integer, SPECfp2000 has 14 integer pgms

– SPECCPU2006 to be announced Spring 2006

– SPECSFS (NFS file server) and SPECWeb (WebServer) added as server benchmarks

40

Performance: What to measure

• Transaction Processing Council measures server performance and cost-performance for databases– TPC-C Complex query for Online Transaction Processing

– TPC-H models ad hoc decision support

– TPC-W a transactional web benchmark

– TPC-App application server and web services benchmark

41

• Performance best determined by running a real application– Use programs typical of expected workload– Or, typical of expected class of applications

e.g., compilers/editors, scientific applications, graphics, etc.

• Small benchmarks– nice for architects and designers– easy to standardize– can be abused

Benchmarks

Benchmarks

• SPEC (System Performance Evaluation Cooperative)– companies have agreed on a set of real program

and inputs– valuable indicator of performance (and compiler

technology)– can still be abused

SPEC Benchmarks www.spec.org

Integer benchmarks FP benchmarks

gzip compression wupwise Quantum chromodynamics

vpr FPGA place & route swim Shallow water model

gcc GNU C compiler mgrid Multigrid solver in 3D fields

mcf Combinatorial optimization applu Parabolic/elliptic pde

crafty Chess program mesa 3D graphics library

parser Word processing program galgel Computational fluid dynamics

eon Computer visualization art Image recognition (NN)

perlbmk perl application equake Seismic wave propagation simulation

gap Group theory interpreter facerec Facial image recognition

vortex Object oriented database ammp Computational chemistry

bzip2 compression lucas Primality testing

twolf Circuit place & route fma3d Crash simulation fem

sixtrack Nuclear physics accel

apsi Pollutant distribution

MIPS example

MIPS Example

Amdahl’s Law

• Execution Time After Improvement = – Execution Time Unaffected +( Execution Time Affected /

Amount of Improvement )

• Example:"Suppose a program runs in 100 seconds on a

machine, with multiply responsible for 80 seconds of this time. How much do we have to improve the speed of multiplication if we want the program to run 4 times faster?"

How about making it 5 times faster???!!

• Principle: Make the common case fast54

Amdahl’s Law

• Performance is specific to a particular program– Total execution time is a consistent summary of

performance

• For a given architecture performance increases come from– Increases in clock rate (without adverse CPI affects)– Improvements in processor organization that lower

CPI– Compiler enhancements that lower CPI and/or

instruction count– Algorithm/Language choices that affect instruction

count

Remember

Textbook Contents

• 1: Computer Abstractions and Technology• 2: Instructions: Language of the Computer• 3: Arithmetic for Computers• 4: Assessing and Understanding Performance• 5: The Processor: Datapath and Control• 6: Enhancing Performance with Pipelining• 7: Large and Fast: Exploiting Memory Hierarchy• 8: Storage, Networks, and Other Peripherals• 9: Multiprocessors and Clusters

57