Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence...

48
Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN was established with funding from MCIT in January 2006. The specific objectives of the project are: Embark on Research and Development activities in the areas of Nanoelectronics, including Nanoelectronics materials for electronics devices and sensors Fabrication of unit processes useful for Nanoelectronics devices Nanoelectronics devices and sensors Nano systems Create technically sophisticated manpower for Nanoelectronics research, engineering and manufacturing IIT Bombay and IISc Bangalore would work in collaboration with each other. IISc would pursue a materials centric approach whereas IIT Bombay would pursue a device centric approach.

Transcript of Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence...

Page 1: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Centre of Excellence in Nanoelectronics (CEN)IIT Bombay

CEN was established with funding from MCIT in January 2006. The specific objectives of the project are:• Embark on Research and Development activities in the areas of

Nanoelectronics, including• Nanoelectronics materials for electronics devices and sensors• Fabrication of unit processes useful for Nanoelectronics devices• Nanoelectronics devices and sensors• Nano systems • Create technically sophisticated manpower for Nanoelectronics

research, engineering and manufacturing

IIT Bombay and IISc Bangalore would work in collaboration with each other. IISc would pursue a materials centric approach whereas IIT Bombay would pursue a device centric approach.

Page 2: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Centre of Excellence in Nanoelectronics (CEN)IIT Bombay

Indian Nanoelectronics Users Program (INUP)

INUP was setup with funding from MCIT in September 2008.

Both CEN and INUP have been established along with similar centres at

IISc Bangalore

The Specific objectives of INUP are: Impart hands-on training in Nanoelectronics to researchers from other institutions in the country.

Help train researchers in Nanoelectronics.

Assist in the initiation of research in Nanoelectronics by enabling the execution of the work of the external users at these centers.

Collaborate with research teams at other Indian centers and develop joint programs in Nanoelectronics.

Provide a platform for researchers in Nanoelectronics to come together and benefit from complimentary expertise.

Conduct workshops for the wider dissemination of knowledge in the area of Nanoelectronics.

Page 3: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Centre of Excellence in Nanoelectronics (CEN)IIT Bombay

CEN comprises of a cluster of five laboratories:

1. Micro-1 Lab, Class 1000 clean room (600 sq. ft.)

2. Micro-2 Lab, Class 10000 clean room (600 sq. ft.)General class (1200 sq. ft.)

3. Nanoelectronics Lab Phase 1, Class 1000 clean room (1100 sq. ft.)

4. Applied Materials Nanomanufacturing Lab, Class 1000 clean room (1000 sq. ft.)

5. Nanoelectronics Labs Phase 2, Class 1000 clean room (4000 sq. ft., under construction)

Page 4: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Micro-1 Lab, Class 1000 clean room: Optical Lithography and Process Tools

Page 5: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Micro-2 Lab, Class 10000 clean room: EBL, Hot wire CVD and PIII Tools

Reactor

Gate Valve

SlitValve

FilamentAssembly

GasManifoldMFC

LLTC

View Port

Shaft

Exhaustline

Pirani Gauge

Page 6: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Nanoelectronics Lab Phase 1, Class 1000 clean room: General Process facilities

Page 7: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Nanoelectronics Lab Phase 1, Class 1000 clean room: Electron Beam and Optical Lithography

Page 8: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Applied Materials Nanomanufacturing Lab, Class 1000 clean room (1000 sq. ft.)

Page 9: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Nanoelectronics Labs Phase 2, Class 1000 clean room (4000 sq. ft., under construction)

Architect’s view

Page 10: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

General Process ToolsMOT Wafer Cleaning/Etch Station

PP body and perforated PTFE work surface

Exhaust: 4000 CFM

Wafer size: upto 200mm

Wet Cleaning, Etching, Rinsing and Drying Tubs: 8 Nos.

Bath Temp.: upto 200 C +/- 1C

Page 11: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Atmospheric Oxidation and Annealing TubesWafer size: 2 inch

Pyrogenic oxidation furnace –Temp. up to 1150 C – gases H2and O2

Double walled tube: for dry oxidation

Boron diffusion tube

Phosphorous diffusion tube

Annealing tube: for GaN, GaAs etc.

Post Metallization Anneal (PMA) Tube.

Page 12: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Atmospheric Oxidation and Annealing Tubes

Three stack furnace tubes:5 atmospheric tubes for oxidation/ Nitridation/ AnnealingMake: Ultech Corpn., South Korea

Wafer Size : 4 inch and 6 inch

Processes:Dry and pyrogenic oxidation silicon-OxynitrideAnnealing and Drive-in

Temperature: upto 1200°c.

Gases: H2, N2, O2, Ar, N2O

Wafer Capacity: upto 50 wafers

Page 13: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

LPCVD Tools for Polysilicon, Silicon Nitride and LTO

• 4 LPCVD tubes with 4 inch and 6 inch wafer capability. • Processes: LPCVD of low temp. SiO2, Si3N4, undoped poly-Si, Phosphorous doped poly-Si• Gases: SiH4, NH3, PH3, N2, O2• Wafer Capacity: upto 50 wafers of 4/6 diameter

Page 14: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Dielectric Sputter DepositionProcess Capabilities:Wafer Size: 2 inches. Gases: Ar, O2, N2Base pressure: 2 X 10-6 mbarDielectric targets

– Hafnium Oxide – Silicon Oxide – Tantalum Oxide

Operating pressure 0.015 mbar

Target Size: 125mm

Sputtering Distance: 75mm

RF power: 2 Nos. withAuto matching networks

Page 15: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

3 Target Metal Sputter DepositionProcess Capabilities:Wafer Size: 2 Inch

Metal targets: Cr, Au, Ti, Pt, Co, Cu, Ni, Al.

Substrate Temp: Room temp.150°c.

Gases: Ar and N2

Base Pressure: 1.0 * 10-6 mbar.

Sputtering Pressure: 2.6 * 10-3 mbar.

Page 16: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Electron Beam Evaporator-1Specifications:E-gun: 2 KW

Base pressure: 1x10-6 Torr.

Substrate Temp.: upto 300oC

Substrate holder – dome size to accommodate seven 2” wafers

Quartz crystal DigitalThickness Monitor

Metals Deposited – Al

Page 17: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Electron Beam Evaporator-2Specification:E-gun: 2 KW

Substrate holder: for 2 inch wafers

Substrate Temp.: upto 300oC

Base pressure: 10-6 Torr.

Quartz crystal Thickness Monitor

Metal Deposited: Aluminum

Page 18: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Multi crucible Electron Beam Evaporator

Specifications:E-gun: 5 KW

Wafer size: 2 inch

Substrate Temp.: upto 300oC

Base pressure: 1E-6 Torr.

Quartz crystal Digital Thickness Monitor

Metals Deposited: Gold Germanium, Antimony, Chromium, Gadolinium, Indium, Nickel, Palladium, Titanium, Aluminum

Page 19: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Thermal Evaporator for MetalsSpecifications:Base Pressure: 10-6 Torr.

Substrate: 2 inch wafers

Substrate Temp.: upto 300oC

Two metals can be evaporated sequentially or simultaneously

Quartz crystal Digital Thickness Monitor

Metals Deposited: Chrome, Gold, Aluminum

Page 20: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

ICPECVD : Plasmalab System 100Specifications:Make and Model: Oxford Instruments, PlasmaLab

Wafer Size: up to 200 mm

Films: Undoped poly-Si, Si3N4, SiON, SiO2

Gases: SiH4, NH3, N2O, Ar, N2, CF4, O2

Process Temperature: 30-450 C

Page 21: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Hot wire CVDSpecifications:Make and Model: The system was designed and assembled at IIT Bombay

Wafer Size: 2 Inches.

Films: Undoped polysilicon, Boron doped polysilicon, silicon nitride.

Substrate Temp.: Room temp. to 800°c.

Filament Temperature: Up to 2000°c.

Gases: Silane (SiH4), Ammonia (NH3), Hydrogen (H2), Diborane (B2H6), Nitrogen (N2).

Chamber Pressure: Up to 10-6 Torr.

Page 22: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Pulsed Laser Deposition (PLD)

Page 23: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Pulsed Laser Deposition (PLD)Specifications:Make and Model: The system was designed and assembled at IIT Bombay

Wafer Size: 1 x 1 cm2

Films: Multi-element materials, Semiconductors, superconductors, Dielectrics and metals.

Laser wave length: 248nm

Laser Energy: 700mJ

Pulsed width: 25ns @ 10Hz

Substrate Temp. : Room temp to 800°c

Gases Used in the system: O2 and N2

Chamber Pressure: Up to 10-7 Torr.

Page 24: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Plasma Immersion Ion Implantation (PIII) system

Specifications:Make and Model: The system was designed and assembled at IIT Bombay

Wafer Size: Upto 200mm

Dopants: Phosphorous, Boron Provision for 7° Implant Tilt

Gases Used: PH3 & BF3

Plasma source: ICP, RF

Base Pressure: 10-7 Torr.

Page 25: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Rapid Thermal Processor (RTP)Specifications:Make and Model: AnnealSys, As-One 150

Wafer Size: 2”, 3”, 4” or 6” and pieces

RAMP Rate: up to 200 °C/s

Temperature Range: Room temperature to 1250°C (+/-1°C).

Base pressure: up to 10-6 Torr.

Gases: NH3, N2, O2, Ar.

Page 26: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Lithography SystemsOptical Lithography: Karl Suss MJB3 Aligner

Specifications:Primary exposure wavelengths: 350-500 nm

Substrate size: upto 3”

Exposure area: 2”

Mask size: up to 4”

Line/space: 1.5um/1.5um with an alignment accuracy of 0.2um

Exposure mode: hard contact

Page 27: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Optical Lithography: DSA EVG 620Double Sided Aligner EVG620Specifications:Wafer size: up to 150 mm (6 inch)

Wafer thickness: 0.1 – 10mm. (top side alignment)

Exposure mode: hard, soft and vacuum contact, proximity.

Separation distance: 0-300µm adjustable via softwareLamp: 350 W Hg lamps suitable for near UV range

Line width capability (top): upto 0.5µm (bottom): upto 1.5µm

Alignment accuracy (top): 0.2µm (bottom): 1µm

Page 28: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Optical Lithography: Laser WriterApplications:

Mask less lithographyFabrication of masks

Specifications: Laser: 405nm BlueSmallest feature size: 1um with PPRWafer Size: 8 inch chuck, 6 inch writeGray scale (or soft) lithography

Scan modes: – Raster– Vector– Stage

Data formats: .CIF (proprietary), .GDFProprietary layout software

Page 29: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Electron Beam Lithography: SEM JEOL 6400The system is primarily designed for scanning electron microscopy.

ELPHY Plus is a lithography attachment for the SEM.

Specifications:Acceleration voltage: 0.2 to 40 kV.Secondary electron image resolution: 0.1μmMagnification: 10X to 3,00,000X Probe current: 1 pA to 10μA.Focusable working distance: 3 to 53 mm.1 ns smallest speed incrementMinimum feature size: 200 nmSubstrate size: upto 2 inch

Page 30: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Electron Beam Lithography: Raith150Two Tool

Process Capabilities:Wafer size: upto 8 inch, 6 inch writeBeam energy range : 100 V to 30 kVBeam size : 2nm at 20 kV, 4nm at 1 kV, Gaussian Beam Laser Interferometer controlled stage with 2nm positioning resolution.Beam current stability: < 0.5% in 8 hours Beam position stability : <200nm/hr Minimum feature size: ≤ 20 nm.Field stitching accuracy: ≤ 10 nm.

Fully automated mix and match operations within selected dies, over entire wafers and masks up to 150 X 150 mm travel range.

Small scale photo mask generation for R&D.

Meteorology: SEM inspection and sample navigation.

Gas Injection System for direct selective deposition of Pt, W, SiO2 and etching of Silicon

Page 31: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Reactive Ion Etching (RIE) Tools:RIE system STS model

Specifications: Wafer Size: Up to 8 inch

Operating Pressure: ~0.5mTorr

Max. RF power: 300W

Gases: CF4, SF6, CHF3

Etch Rates: Si3N4, SiO2 ~ 20nm/min, Poly-Si ~ 60nm/min

Page 32: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

RIE system Sentech model SI500Specifications:Wafer size: 2, 3, 4, 6 inchesfor III-V Semiconductors

Substrate Temp: -30°C to + 250°C

Electrode diameter: 240 mm.

Gases : SF6, O2, Cl2, BCl3, N2, Ar.

Chamber Pressure: 10-6 Torr.

Max RF Power: 1200 W (source), 600 W (bias), 13.56 MHz

Page 33: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Applied Materials Nanomanufacturing Laboratory200 mm FEP (Gate Stack) Centura

Gate stack centura is a cluster tool for the formation of the complete gate stack in a state of the art 8 inch CMOS manufacturing process.

A CVD chamber is integrated for MOCVD of high-k materials

Page 34: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

200 mm Etch CenturaEtch centura is a cluster tool for reactive etching and resist ashing in a state of the art 8 inch CMOS manufacturing process. RIE of the target stack and resist ashing can be done without vaccum break. The tool currently has two process chambers: one for RIE and the other for resist ashing.

Process Capabilities:Wafer Size: 8 inches.Gases: O2, N2, HBr, SF6, BCl3, Cl2,CHF3

Etch chamber: Applications: Gate stack etching

Resist Asher:Application: Resist ashing in oxygen plasma

Page 35: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

200 mm Endura

PVD Endura is a cluster tool, currently configured with three process chambers for sputter deposition of metal thin films in a state of the art 8 inch CMOS manufacturing process.

Page 36: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Other Process Tool: Hot Press

Applications: Fabrication of polymer filmsMembrane electrode assembly of fuel cellsPreparation of material pellets for characterization

Specifications: Area: 10 inch x 10 inchHydraulic press: Pressure upto 15 tons.

Page 37: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Manual Wafer Bonding System- EVG501Wafer bonding tool for all wafer bonding using processes: anodic, thermo compression and silicon direct bonding.

System Capabilities:Wafer Size: Upto 6 inch wafersVoltage : 0 to 2KVCurrent: 0-50mA Resolution 200 uAMax. Vacuum - 1x 10-3mbar.Contact force: Adjustable upto 3.5KN in steps of 3.5 N Heating: upto 550°C

Page 38: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Wire BonderWire bonder is used to make connection between chips or within same chip. A soft metal wire such as Gold (Au), Copper (Cu), Silver (Ag), Aluminum (Al) and alloys such as Palladium-Silver (PdAg) and others is used for bonding without the use of solder/flux. The ultrasonic bonding technique used here can serve as Ball, Wedge, Bump or Peg bonder depending on the bonding tool used.

Process Capabilities:

Make and Model No: HYBOND Model626Work Stage Size: 4inch × 6 inch .Substrate Temp: Room Temp to 600°CBonding process: Ball, Wedge, Bumpor Peg

Page 39: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Material and structural characterization:Atomic Force Microscope (AFM)

Atomic Force Microscope (AFM) is a characterization system for surface imaging of materials at nanometer level.

Operating Principle is based on inter atomic forces between cantilever tip and surface of the sample; a position of sensitive detector and a laser beam is used to detects/measure the reflection of the of the cantilever traveling on the sample surface.

Characterization Capabilities: Sample Size: 2 cm x 2 cm

Modes: Contact mode, AC mode, STM (scanning tunneling microscopy).

Applications: Surface Imaging, mechanical characterization of MEMS devices such as Micro cantilevers, etc

Page 40: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

EllipsometerEllipsometer is tool for the characterization of thin films and multi-layer structures. Linearly polarized light is reflected from the surface of a material. The reflected light becomes elliptically polarized, the degree of ellipticity being determined by the optical properties of the solid being probed. Film thickness and refractive index of single films and each layer of a multi layer stack can be measured.

Capabilities: Make: SentechLaser wavelength: 632.8 nm Stage size: 150 mm (6 inch) with z-tiltGoniometer with incidence angles set in 5º steps Auto collimating telescope/microscope: Applications Measurement of dielectrics on semiconductors, semiconductors on semiconductors, polymers on silicon, films on transparent substrates, films on metallic substrates.

Page 41: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Olympus MX61 Industrial MicroscopeThis is a partly motorized, high-performance microscope with reflectance modes for optical imaging.

Specifications:Mechanical stage/travel: 8 inch/8inchImaging: dark field and bright fieldEyepiece magnification: 10x

Objectives (magnification/Numerical Apperture/Working Distance) 5´/0.15/12mm, 10´/0.3/6.5mm, 20´/0.4/12mm, 50´/0.5/10.6mm, and 100´/0.8/3.3mm. All Semi Plan Apochromat

Light Source: Halogen lamp (100W)

Camera: DP 20, 2M pixel CCD with video attachment

Software: Interactive measurements, image analysis Imaging: dark field and bright field

Page 42: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Surface Profilometer - AMBIOS XP 2Specifications: Make and Model: Ambios XP2Sample Stage Diameter: 200mm Scan Length Range: 50mm X-Y Stage Translation:150mm x

178mmSample Thickness: 30mm (~1.25

inches)Vertical Resolution: 1.5Å at 10µm,

15Å at 100µmVertical Range: 400um max.Standard Magnification: 40-160X

motorized zoomStylus Tip Radius: 2.5 micronsStylus Force Range: .05-10mg

(programmable)Stress Measurement: Yes

Page 43: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Services and Safety Equipments:300 KVA & 200 KVA UPS

30 min. backup with SMF batteries

Page 44: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Facilities Chilled Water (FCW)FCW: 200 LPM @ 60 psi pressure

Page 45: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Nitrogen GeneratorGeneral Nitrogen: 99.5% Purity, 200 LPM

Page 46: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Biometric Access & CCTV

Page 47: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Fire Detection & Suppression system

Fire Panel Novac 1230 fire suppression fluid containers

Cross-zone smoke detectors controlled at central panel hooked to UPS. Novac 1230 based fire suppression fluid. Auto/manual release of fire suppression fluid in the event of fire.

Page 48: Centre of Excellence in Nanoelectronics (CEN) IIT Bombay · 2015. 12. 31. · Centre of Excellence in Nanoelectronics (CEN) IIT Bombay CEN comprises of a cluster of five laboratories:

Environmental SafetyBOCE TPU Scrubbers:

one for CVD process and one for Etch process