Carmen S. Menoni Professor Week 3 ...

15
Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ECE103/Semin arMain.html

Transcript of Carmen S. Menoni Professor Week 3 ...

Page 1: Carmen S. Menoni Professor Week 3  ...

Discovering Electrical & Computer Engineering

Carmen S. Menoni

Professor

Week 3 http://www.engr.colostate.edu/ECE103/Semin

arMain.html

Page 2: Carmen S. Menoni Professor Week 3  ...

TOP TECH 2012 SPECIAL REPORT

IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E. Ross & S.K. Moore

• Selection by the authors of technologies that are likely to figure prominently in coming year’s tech headlines.

Page 3: Carmen S. Menoni Professor Week 3  ...

An extremely fine line Katie M, Palmer – pp47, IEEE Spectrum 1/12

• Extreme ultraviolet (EUV) lithography is the next generation printing method that will allow to continue fulfilling Moore’s law.

• EUV is expected to print at the 14 nm node and beyond.

• EUV is expected to be in production by 2014. • The goal for putting EUV systems in the field with

adequate throughput of 60 wafers per hour is 100W average power. The ultimate goal is to achieve 250W power for throughput of 125 wafers per hour.

Page 4: Carmen S. Menoni Professor Week 3  ...

Why EUV?

• EUV light belongs to that region of the electromagnetic spectrum between 10-50 nm.

3/6/2012 4

Extreme ultra-violet light

= 10 - 50 nm

NA

kD

C

visible light

= 500 nm

193 nm 13.5 nm

Page 5: Carmen S. Menoni Professor Week 3  ...

It is not easy to work in EUV region

• The selection of EUV for printing the next generation of integrated circuits was made because at a wavelength of 13.5 nm, highly reflective multilayer coatings can be made.

http://www.euvl.de/multilayers/html/puremosi.html

TEM cross section of a Mo/Si multilayer (dperiod=6.85nm)

Page 6: Carmen S. Menoni Professor Week 3  ...

Printing system (stepper tool)

http://www.llnl.gov/str/Sween.html

Conventional Stepper EUV Stepper

Essential elements: • Light source • Masks • Projection system

For EUV to be profitable, it needs to print 70 wafers/hour

NXE-3300

Page 7: Carmen S. Menoni Professor Week 3  ...

Sources of EUV Light

• Visible light is produced by atoms in which outer electrons are excited via other electrons, or with light. To generate EUV light it is necessary to access inner shell transitions

• Two types of incoherent sources:

– Laser assisted discharge plasma (X-treme technologies –Germany)

– Laser created plasmas (Cymer –USA; Gigaphotons – Japan)

Page 8: Carmen S. Menoni Professor Week 3  ...

Incoherent plasma sources

Laser produced plasmas Discharge produced plasmas

http://www.xtremetec.com/

Page 9: Carmen S. Menoni Professor Week 3  ...

• Laser assisted discharge plasma

Incoherent plasma sources

July 11, 2011 IMEC announces printing of the first Extreme Ultra Violet (EUV)-light wafers with ASML NXE:3100 mounted with XTREME’s laser-assisted discharge plasma (LDP) source Output: 37 W at 100% duty cycle

Hybrid technology combines the main advantages of the traditional LPP and DPP architectures: namely, power scalability and high stability. Additional advantages of LDP are: Pure photons (i.e., no tin contamination beyond the scanner interface), thus guaranteeing a long scanner lifetime, Clean photons (i.e., negligible DUV and IR spectral content), enabling imaging and overlay, Dose stability and repeatability (enabling CD uniformity), High duty cycles (enabling high effective throughput), and Improved source uptime (enabling high-volume manufacturing)

Page 10: Carmen S. Menoni Professor Week 3  ...

Laser produced plasmas

http://www.cymer.com/euvl/

How is the EUV light generated in the new source? The 13.5nm wavelength light is produced when a CO2 laser pulse is "shot" at a droplet of tin (Sn). The laser heats the droplet of tin to the point of evaporation and super-heating to critical temperature, then the atoms shed their electrons and become highly ionized (i.e. a plasma). The ions created by the interaction of the laser pulse and tin emit photons, which are collected by a highly reflective mirror. The mirror reflects and directs the resulting 13.5nm wavelength energy and focuses it through an aperture and into the lithography system.

The Cymer LPP EUV Source System consists of a high power, high repetition rate pulsed CO2 laser, a beam delivery system, and a plasma vacuum vessel - complete with a droplet generator and collector, debris mitigation, and in-situ metrology to measure, monitor and control the system operation. Key Features: • High Conversion Efficiency - Sn droplet & 10.6 micron laser • High Collection Efficiency - Large collection angle and small source size. • Long Lifetime - Isolated plasma

Source can produce 50W of power at 80% duty cycle (Adv. Lithography 2012)

Page 11: Carmen S. Menoni Professor Week 3  ...

EUV Masks

6”×6” Mo/Si multilayer

coated mask

Absorber

pattern

Phase defects

Phase defects

bumps

pits

Mo

/Si m

ultila

ye

rs Phase defects

Absorption defects

bumps

pits

Mo

/Si m

ultila

ye

rs

Currently: 0.3 defects/cm2

Goal: 0.003 defects/cm2

Page 12: Carmen S. Menoni Professor Week 3  ...

Projection System

Uses high reflectors to guide light and illuminate the mask. This is because EUV light is highly absorbed by most materials.

http://www.llnl.gov/str/Sween.html 3/6/2012 12

Throughput: Required: 100 W will allow to print 100 wafers per hour Present specs: 50 W or 50 wafers per hour. For industry to have this technology in production by 2014/5 – they need to have systems operating reliably now

0.25NA system

Page 13: Carmen S. Menoni Professor Week 3  ...

EUV Resists

• The mask pattern is projected into a wafer whose surface is covered by a thin (<100 nm) layer of a resist.

– Resist technology for EUV has advanced to the point that 12 nm lines and spaces can be printed with 0.3 NA objective.

– Inpria corporation have developed photoresists capable to print sub-16 nm lines

http://www.cxro.lbl.gov/MET

Page 14: Carmen S. Menoni Professor Week 3  ...

Competitive technologies

• Double/Triple/Quadrupole patterning

• Multiple electron beam lithography

• Nano-imprint

• Directed self assembly

Page 15: Carmen S. Menoni Professor Week 3  ...

Technologies

– Sources of EUV light – incoherent, high average power, low debrie

– Multilayer coatings for EUV – most materials have little reflectivity and high absorption. 13.5 nm wavelength selected because of the performance of Mo/Si multilayers

– Masks – with very few defects are needed to print at the 14 nm node and below. Mask inspection is a big issue

– Projection optics – here the quality is X-treme to realize aberration free printing and high throughput