Autumn00

60
Y ield M anagement Y ield M anagement Process Module Control Strategies for the Semiconductor Industry SOLUTIONS Process Module Control Strategies for the Semiconductor Industry V OLUME 3 I SSUE 1 A UTUMN 2000 $5.00 US SOLUTIONS 15 COVER STORY — MEASUREMENT AND ANALYSIS OF RETICLE AND W AFER LEVEL CONTRIBUTIONS TO TOTAL CD V ARIATION 31 MASK MAKING IN THE 130 NM TECHNOLOGY NODE: AN APPROACH TO DEFECT FREE MANUFACTURING 52 “THE MEEF METER”: A REALISTIC APPROACH FOR L ITHOGRAPHY PROCESS MONITORING 15 COVER STORY — MEASUREMENT AND ANALYSIS OF RETICLE AND W AFER LEVEL CONTRIBUTIONS TO TOTAL CD V ARIATION 31 MASK MAKING IN THE 130 NM TECHNOLOGY NODE: AN APPROACH TO DEFECT FREE MANUFACTURING 52 “THE MEEF METER”: A REALISTIC APPROACH FOR L ITHOGRAPHY PROCESS MONITORING SPECIAL ISSUE: A Focus on Reticles SPECIAL ISSUE: A Focus on Reticles

description

 

Transcript of Autumn00

Page 1: Autumn00

Yield ManagementYield ManagementProcess Module Control Strategies for the Semiconductor Industry

S O L U T I O N SProcess Module Control Strategies for the Semiconductor Industry

VOLUME 3 ISSUE 1 AUTUMN 2000 $5.00 US

S O L U T I O N S

15 COVER STORY —MEASUREMENT AND ANALYSIS OF

RETICLE AND WAFER LEVEL

CONTRIBUTIONS TO TOTAL CDVARIATION

31 MASK MAKING IN THE 130 NM

TECHNOLOGY NODE: AN APPROACH

TO DEFECT FREE MANUFACTURING

52 “THE MEEF METER”: A REALISTIC

APPROACH FOR LITHOGRAPHY PROCESS

MONITORING

15 COVER STORY —MEASUREMENT AND ANALYSIS OF

RETICLE AND WAFER LEVEL

CONTRIBUTIONS TO TOTAL CDVARIATION

31 MASK MAKING IN THE 130 NM

TECHNOLOGY NODE: AN APPROACH

TO DEFECT FREE MANUFACTURING

52 “THE MEEF METER”: A REALISTIC

APPROACH FOR LITHOGRAPHY PROCESS

MONITORING

SPECIAL ISSUE:A Focus on ReticlesSPECIAL ISSUE:A Focus on Reticles

Page 2: Autumn00

Autumn 2000 Yield Management Solutions2

C O N T E N T S

S p e c i a l F o c u s

C o v e r S t o r y

15 Measurement and Analysis of Reticle and WaferLevel Contributions to Total CD VariationsThe impact of reticle CD variations on wafer level CDperformance has been growing with the trend towardsub-wavelength lithography. Reticle manufacturing, CDspecifications, and qualification procedures must nowtake into account the details of the wafer fab exposureand process conditions, as well as the mask process.

Cover image by Luie Lopez,Stephen Marley Productions

6 VLSI’s Reticle ForecastThe mask making market has shown significant growthas chipmakers have pushed the limits of optical lithog-raphy beyond what experts thought possible only afew years ago. With lithography hitting a wall andoptical the only reasonable choice, reticles haveemerged as the primary market driver to satisfy thechip industry’s amazing appetite for technology.

9 Masks as an Application-Specific ProductIn the era of deep sub-wavelength lithography, maskscan no longer be treated as commodities. When amask pattern is changed for a specific application,many more variables must be considered to ensure theresulting wafer lithography yields acceptable results.

21 International SEMATECH: A Focus on the Photomask IndustryMany changes and trends in the International TechnologyRoadmap for Semiconductors will have a compoundimpact on the photomask industry.

27 A Proliferation of Masks: Why We Need Them,How to Pay for ThemTo help semiconductor manufacturers stay on theiraccelerated roadmap and maximize profits, a varietyof photomask technologies will need to be used.Customers should provide mask manufacturers withmeaningful specifications, pay reasonable prices, andsupport the R&D effort of the photomask industrythrough joint ventures and partnerships.

31 Mask Making in the 130 nm Technology NodeThe need for precise control of critical dimensions andreduction of defects are some of the most critical issuesfor photomask manufacturers. In this paper, a uniquephotomask manufacturing method for precise CD control is described and an approach to defect-freemanufacturing (DFM) is proposed.

36 Why Reticle Inspection Tools are Required in bothPhotomask Shops and Wafer FabsIt is now critical that both mask maker and user tohave the same reticle characterization tools so poten-tial yield detracting anomalies can be detected, tohelp optimize fab yields and revenues. “Best of Class”metrology and inspection tools are required to meetthe challenges created by 130 nm fabrication.

Page 3: Autumn00

Autumn 2000 Yield Management Solutions 3

A U T U M N 2 0 0 0

S e c t i o n s

4 Editorial: Reticles Pick Up the Burden

20 Yield Management Seminar Series

50 KLA-Tencor Trade Show Calendar

P r o d u c t N e w s

58 TeraScan 570DUV Reticle Inspection for Dieto Database Applications

TeraStarMulti-Beam UV Inspection ofMulti-Die Pelliclized Reticles

X-LINKReticle to Wafer Defect AnalysisLink

8250-R CD SEMAdvanced Reticle CD Metrology

59 IMPACT SEM XPAutomated Defect Classificationfor eV300 SEM Review System

Klarity ProDATAStandardized and AutomatedData Analysis

SEM Image Analysis Module(SIAM)Critical Shape Difference (CSD)Analysis

Yield Management Solutions ispublished by KLA-Tencor

Corporation. To receive YieldManagement Solutions, contactCorporate Communications at:

KLA-Tencor Corporation160 Rio Robles

San Jose, CA 95134Tel 408.875.3000Fax 408.875.4144www.kla-tencor.com

For literature requests, call:800.450.5308

©2000 KLA-Tencor Corporation. All rights reserved. Material may not be

reproduced without permission from KLA-Tencor Corporation.

Products in this document are identified by trademarks of their respective

companies or organizations.

15 369

41 Development of High-Quality Attenuated Phase-Shift MaskPhotomask technology is currently facing a number of challengesin various fields, including materials, volume of mask-patterndata, mask exposure and fabrication, quality assurance, andcost and delivery. Of these, costs will be particularly important inthe future of mask production. With its ability to considerablyreduce the MEEF effect and tolerate fluctuations of mask patterndimensions, the phase shift mask (PSM) is effective in suppressingmask costs. Various resolution enhancement techniques, such asalternating PSM and attenuating PSM are explored.

45 Photomask Improvement Challenges for the 130 nm Nodeand BelowSub-wavelength lithography will use various types of resolutionenhancement techniques on reticles, such as embedded attenuatedphase-shift mask and optical proximity correction, to extendrefractive reduction optics to the 130 nm node and below. Thereare significant difficulties that confront mask makers and pho-tomask blanks manufacturers as this process unfolds.

49 ‘The MEEF Meter’: A Realistic Approach to Process MonitoringWith the advent of sub-wavelength lithography, process controlhas taken on a whole new meaning. Enter the MEEF (Mask ErrorEnhancement Factor) Meter, a practical process monitor target forthe low k1 lithography regime. Its purpose is to allow accuratedetermination of the MEEF effect and maintain a consistent monitor for any changes in the lithography process that canimpact this effect. Investigation and characterization are followedfrom the design phase, through reticle fabrication and finallyonto the wafer.

Page 4: Autumn00

Autumn 2000 Yield Management Solutions4

EditorialS E C T I O N S

The inexorable drive of optical lithography contin-ues to enable semiconductor manufacturers aroundthe world to print increasingly smaller linewidthson larger and larger silicon wafers. Despite direpredictions that we have seen the “end,” opticallithography continues to push the envelope andstretch perceived limits with amazing regularity.The resulting ability for wafer fabs to delay invest-ments in shorter wavelength exposure tools farbeyond what was optimistically contemplated adecade ago has saved billions of dollars in capital.This has also enabled the semiconductor industryto shrink linewidths on an accelerated schedule,creating accelerated cash flows and staggeringincreases in shareholder value. The reticle hasincreasingly become a central player in this economicand technological windfall.

The industry has transferred some of the burden ofsmaller wafer linewidths and critical dimension(CD) control from optical exposure tools to moreprecise and complex reticles. Because of bin yield,CD control is worth about $1 per chip per atom inlinewidth precision. While there is much discus-sion regarding accelerated roadmaps for wafer pro-cessing, the dimensions that are important on areticle have dropped at least twice as fast as thoseon the wafer, to compensate for the slowdown inadoption of next wavelength exposure tools. Theburden, and the source of value, has shifted.

Reticles have become amazingly more complex,endowed with billions of optical proximity correc-tion (OPC) features and enhanced by phase shiftmask (PSM) topography that minutely adjusts thewavefront of deep ultraviolet (DUV) light. Stretchingphysics in what is termed “sub-wavelength lithog-raphy,” we now print lines smaller than the wave-length of the DUV light used to expose them.

Reticles Pick Up the Burden

Unfortunately, in sub-wavelength lithographysmall errors on the reticle are multiplied by amask error enhancement factor that magnifies theeffect of defects and CD errors. In a reticle imagemeasuring 1 million by 1 million pixels (repre-senting a photo-mask for 0.13 micron technologyfrom an inspection standpoint), a single 100 nmby 100 nm defective pixel can kill production at arate of one hundred 300 mm wafers per hour. Afew years ago, the area of a critical defect ten timesas large on a reticle was only one-tenth as complex.Given this complexity, it is clearly evident thatreticle quality is key to economically viable advancedlithography and semiconductor manufacturing.

The leverage on the finding and eliminating ofnano-scale defects has enormous economic value, thecost of developing and operating these systems istremendous. It is a battle of exponentials: expo-nentially more expensive development efforts buildequipment that deals with exponentially more pixelsin exponentially larger end-user markets. Betweenthe equipment makers and the end users are manycommercial levels. Closest to the reticle equipmentmanufacturers are the mask makers. After years ofDarwinian consolidation, the remaining captiveand merchant reticle manufacturers compete tocost-effectively provide the semiconductor indus-try with total state-of-the-art reticle solutions. Eachof these manufacturers (the out-source suppliers tothe chip industry) adds value through reticleprocess integration. They select the best-of-classwriters, inspectors, metrology equipment, etchand repair tools, and integrate them with propri-etary process and manufacturing know-how toproduce tailored products for their markets. Giventhe critical importance of the reticle, the lethalsignificance of reticle defects, the risks of yieldcrashes, and the exponential nature of the economics,

Page 5: Autumn00

Lance Glasser is Division Vice President and General Managerfor KLA-Tencor’s Reticle and Photomask Inspection Division.Lance’s most recent assignment has been RAPID Division VicePresident of Advanced Programs. In this position, Lance wasthe program manager in charge of the TeraScan™, RAPID’sDUV reticle inspection system. Since joining KLA-Tencor in1996, Lance has been a key member of the management teamthat has led the RAPID division to record revenues, profits and

a dominant market position. Before joining KLA-Tencor, Lance was Director ofElectronics Technology at ARPA and before that he served on the M.I.T. faculty in Electrical Engineering and Computer Science. Lance received his Ph.D. inElectrical Engineering and Computer Science at M.I.T.

Autumn 2000 Yield Management Solutions 5

S O L U T I O N SYield Management

CORPORATE HEADQUARTERSKLA-Tencor Corporation160 Rio RoblesSan Jose, California 95134408.875.3000

INTERNATIONAL OFFICESKLA-Tencor France SARLEvry Cedex, France011 33 16 936 6969

KLA-Tencor GmbHMunich, Germany011 49 89 8902 170

KLA-Tencor (Israel) CorporationMigdal Ha’Emek, Israel011 972 6 6449449

KLA-Tencor Japan Ltd.Yokohama, Japan011 81 45 335 8200

KLA-Tencor Korea Inc.Seoul, Korea011 822 41 50552

KLA-Tencor (Malaysia) Sdn. Bhd.Johor Bahru, Malaysia011 607 557 1946

KLA-Tencor (Singapore) Pte. Ltd.Singapore011 65 782 6788

KLA-Tencor Taiwan BranchHsinchu, Taiwan011 886 35 335163

KLA-Tencor LimitedWokingham, United Kingdom011 44 118 936 5700

EDITOR-IN-CHIEFKern Beare

MANAGING EDITORUma Subramaniam

CONTRIBUTING EDITORSThomas SalinasCarol JohnsonIndira Rangarajan

ART DIRECTOR AND

PRODUCTION MANAGERCarlos Hueso

DESIGN CONSULTANTHarry Wichmann

CIRCULATION ANDASSOCIATE EDITORCathy Correia

KLA-Tencor Worldwide

Lance A. Glasser

Vice President and General ManagerReticle and Photomask Inspection Division

Yield ManagementS O L U T I O N S

it is little wonder that second-place tools have struggled in this market. Thistrend will only accelerate as the economic value and the fragility and risk ofthe reticle grows.

While the equipment hardware is easily visible, information technology is theforce multiplier. Today’s big “hardware” projects have as many software engineersas all other types of engineers combined. This equipment is then integratedinto information architectures that add value through information exchange,correlation and presentation. Today’s reticles are printing the microchips thatpower the information architectures that are helping accelerate the semiconductorroadmap, increase yield and reduce cost. The future lies with best-in-classequipment and instruments connected in advanced information systems thatfeed the semiconductor cycle.

circle RS#046

Page 6: Autumn00

Autumn 2000 Yield Management Solutions6

VLSI’s Reticle Forecast

by G. Dan Hutcheson, VLSI Research Inc.

The mask making market has shown significant growth as chipmakers have pushed the limits of optical lithography beyondwhat experts thought possible only a few years ago. Reticles have been one of the keys to making the race down Moore’s curvepossible. It is this importance that has been the primary market driver. The worldwide market for reticles will grow 19 percent to reach $2.4 billion this year, more than twice the 1994 level. It should grow another 29 percent in 2001,reaching $3.1 billion, and is expected to hit $4 billion by 2004 (see Figure 1). Unit volumes are also being pushed up,and are expected to grow 4 percent in 2000 and 13 percent in 2001. The difference between revenues and unit volumes isreflected in average selling prices, which are rising at a 14 percent annual clip. This growth is due to two factors: the indus-try is coming out of a downturn and chipmakers have an amazing appetite for technology.

I N D U S T R Y T R E N D S

Mask costs have emerged as a hot issue inrecent years because of these trends. Chip-makers worry they may not be able to affordreticles in the future. This is true even thoughreticle revenues are still far less than twopercent of chip revenues - and are growingat a rate less than the chip market itself. Incontrast, the amount chipmakers spend onreticles pales in comparison to what theyspend on equipment, which is more than tentimes higher. The reticle market is about thesize of the chip market when ICs were firstentering production and Intel had yet to befounded. It is also roughly the size of theequipment market of 1980. One can see thatreticle makers are delivering tremendousvalue to the chip industry, with productivityincreases along the way, while taking rela-tively little in return. Nevertheless, this doesnot alleviate the concerns of chipmakers.

The chip and mask industries are drivingaway from each other. Reticle costs haveskyrocketed as critical dimensions haveshrunk. A sub-0.2-micron reticle costs 20times that of a 2-micron reticle. Whilesteep, this is a seemingly linear correlation.However, a 0.18-micron reticle averages$19,000 and 0.13-micron reticles are run-ning $44,000, an increase of 2.3 times foronly a 30 percent reduction in critical

dimensions. Even aerial density is only up by 1.9times; clearly the ratios are not favorable.

Meanwhile, the chip industry has moved to smaller lotsizes to address smaller market niches with differentiatedproducts. ASIC manufacturers, in particular, will oftenhave lot sizes as small as one to ten wafers. A 21-mask,0.18-micron reticle set will cost in the neighborhood of$150-$200,000. So, is it a significant factor for ASICmanufacturers? Here is the amortized cost of such areticle set per good die (PGD), using the logic bench-mark of 100 good die per wafer:

Wafers Cost PGD Typical Use for Volume

1 $1750 Very rare applications, such assatellites or development runs

10 $175 Typical ASIC runs

100 $17.50 Large ASIC runs

1000 $1.75 Typical mode of all logic production

3000 $0.58 Typical mean of all logic production

10000 $0.18 Very high-volume runs

You may think 18 cents, or even 58 cents, is not a lot.But remember, chipmakers regularly beat up assemblyline managers for a tenth of a cent. Is it really significant?

Page 7: Autumn00

Autumn 2000 Yield Management Solutions 7

The mask makers and their suppliers are the criticalsupply points here. For them to meet these requirementsreally pushes the mask maker’s capability. Currently,sub-0.16 micron (on-wafer CD) reticles account foronly 0.2 percent of unit production and 3.4 percent ofrevenues. However, by 2001 they will account for 4.5percent of units and 46 percent of revenues in the reticlemarket. Virtually all of these designs will require OPCand will need some level of phase shifting. Meanwhile,e-beam writing and reticle inspection tools are gaspingto keep up with the requirements. Developing thesetools is expensive, while potential sales volume is low.This pushes up costs that must be passed on andincluded in reticle pricing.

It is the capital costs associated with the need to acquirethese tools and the rapidity of their obsolescence, com-bined with massive increases in pixel counts as criticaldimensions shrink, and rising MEEFs (Mask ErrorEnhancement Factor) that drive up the cost of leading-edge reticles. It is readily apparent that costs are anissue because mask makers have not made usury profitsas leading-edge mask prices rise. Moreover, before thisthey bled for about a decade when the infamous 5Xholiday hit (which was something if you were a maskmaker). So, one might argue it’s their turn to makemoney. However, this has yet to happen.

The data shows that despite relatively high increases inaverage selling prices, there is still considerable pricepressure on reticles. Average selling prices weighted byrevenues are heavily driven by technology. Technologyadds value, so they are not a real indication of what ishappening to reticle prices on an individual basis.Unweighted averages of just pricing changes by criticaldimension category show prices have actually declinedin every year on record up to 2000 (see Figure 3).Moreover, they are forecasted to decline through 2004.

I don’t think so. Especially when leading edge micro-processors go for $1,000 a pop. Do they have a choice?Not really. They can lower reticle costs by using largercritical dimensions, but this is offset by fewer die-per-wafer. ASIC makers are responding by “dumbing” downtheir reticles with loosened specs and dropping out someof the OPC targets and phase shifters. Some buy cheapreticles, but the cost is yield and low-speed sorts (great adfor the mask shops: “pay me now or lose later”). There’sno way around it: with lithography hitting a wall andoptical the only reasonable choice, reticles are a criticaltechnology. So, chipmakers have to pay their way.

Moore’s law is a hungry child that needs ever-betterreticles to remain sated. It is also essential to the healthof the reticle market that it moves to ever-tighter criti-cal dimensions. Figure 2 shows this importance bybreaking out the reticle market by critical dimensions(as printed on the wafer). It is based on the sales ofindividual reticles, not sets. As can be seen, almost all ofthe growth since 1996 has come from sales of sub-0.3micron reticles. The pressure on reticle makers is farhigher than that of any other area. While the bulk ofwafer sales only recently shifted to favor 200 mm andequipment makers are shipping tools for 0.18-micron,0.18-micron is now passé for reticle makers.

Most companies have been easily ramping 0.18-micronproduction using 248 nm scanners. This was true forboth DRAM and logic. Reticle makers were, in largepart, the enablers allowing them to paint with a brushlarger than the lines themselves. Now the effort hasshifted to bring 0.13-micron up by next year; they arecurrently working on 0.1-micron. Companies also intendto do much of this work with 248 nm scanners. Scannertechnology is proving to be much more extendable thanpreviously thought, as stepper companies push NA’s upand resist technology improves. But much hinges onthe reticles themselves.

I N D U S T R Y T R E N D S

Figure 1. Worldwide reticle forecast summary.

2000 2001 . . . 2004

Revenue ($B) 2.4 3.1 4.0

Growth 19% 29% 15%

Units (K) 809 915 1,045

Growth 4% 13% 6%

Prices ($) 809 915 1,045

Growth 14% 14% 8%

1994 ’95 ’96 ’97 ’98 ’99 2000 ‘01 ‘02 ‘03 ‘04

600

1100

1600

2100

100

3100

3600

4100

4600

2600

> =0.75 Micron0.5 to < 0.75 Micron0.3 to < 0.5 Micron< 0.3 MicronTotal

Figure 2. Reticle market trends.

Page 8: Autumn00

Another way to look at this is the diffusion rate of reti-cles, which is the ratio of reticle sales to semiconductorsales (see Figure 4). If the ratio is rising, it indicateschipmakers must spend relatively more to generate thesame level of semiconductor sales or less if it is declin-ing. The ratio actually declined throughout the firsthalf of the 1990s and only started to increase in 1996due to the rapid decline in chip prices as the industry

I N D U S T R Y T R E N D S

entered the downturn. Nevertheless, it is still belowthe levels in 1989 when we started to track the marketregularly. It is also well below pre-5X holiday levels(we recorded a value of 1.9 percent in 1979). If the dif-fusion rate were the same today, it would increase maskindustry revenues by $1.4 billion or 36 percent of thishypothetical size. The mask industry’s ability to lowercosts over the last 20 years has directly contributed tochip industry profitability. At the same time, it hasbeen instrumental in keeping the chip industry abreastof the massive technology changes needed to stay onMoore’s curve.

1989 ’91 ’93 ’95 ’97 ’99 2001 ‘03

-20%

-10%

0%

10%

-30%

Figure 4. Reticle dif fusion rate.

1994 ’95 ’96 ’97 ’98 ’99 2000 ‘01 ‘02 ‘03 ‘04

-20%

-10%

0%

10%

-30%

30%

20%Revenue WeightedRevenue Unweighted

Figure 3. Reticle pricing trends.

Are you gambling with your deviceperformance and reliability?In today’s world of complex integrated circuits, smaller devicegeometries, and higher levels of metallization the chips arestacked high. Really high. The critical production challengesof Etch and CMP process monitoring could zap your yield.And send your wafers to the scrap heap. Why take chanceswith your customer satisfaction and profitability?

Rely on our award-winning, production-proven surfacemetrology solution. The HRP™Series. An industry- leading high-resolution profiler that offers you exceptionalthroughput, sensitivity, reliability, and repeatability. And helps you meet the metrology challenges of modern wafer production.

To receive your FREE guide, Process Control Solutions for CMP and Etch, e-mail us at [email protected].

Visit us at www.kla-tencor.com and find out why more than 100 customers around the world rely on the HRP.

Achieve

superior

in-line

process

control

of CMP

and Etch.

circle RS#017

Page 9: Autumn00

Autumn 2000 Yield Management Solutions 9

LithographyS P E C I A L F O C U S

Masks as an Application-SpecificProduct

by Steve Carlson, Photronics, Inc.

Historically, the lithography community has treated masks as a commodity. An “off-the-shelf” reticle could be ordered froma mask shop on short notice without worrying about too many details. If one reticle design worked well, the pattern couldbe changed and sent to the mask shop without worrying about any changes in data or design. If a reticle worked well inone fab, it would surely work well in another.

With the advent of deep sub-wavelength(DSW) lithography,1 masks can no longerbe treated as commodities. A mask patternchange brings many more variables thatmust be comprehended in order to ensurethat the resulting wafer lithography yieldsacceptable results. A reticle that works wellon a stepper or scanner from one manufac-turer may not print good wafers when adifferent system or process is used.

In this article, some new phenomena seen inDSW lithography are discussed. Then thereis an explanation as to why relying solelyon the mask purchased as an “off-the-shelf” or stand-alone solution is becoming anincreasingly time-consuming and expensiveapproach. Finally, some solutions are presentedfor expensive and complex lithographyproblems by looking at other elements ofthe integrated lithography system.

New phenomena in deep sub-wavelength lithographyIn DSW lithography, there are some newphenomena that make the lithographer’sjob more difficult:

• lateral translations

• accelerated mask error enhancement factor (MEEF)

• more severe proximity effects

• reticles that meet conventional specifications butstill have killer defects

Lateral TranslationsOne type of reticle enhancement for DSW lithographyutilizes hard-phase shifters. This technique can producea lateral image shift if the depth of the etch variesacross the feature or reticle.

An aberration in the projection optics can also producea small lateral image shift.2 Of course, these aberrationsaren’t unique to DSW lithography. What’s new is thatthe error budgets are becoming so small that these smalllateral image shifts can now account for a significantpercentage of the error budget (Figure 1).

Mask Error Enhancement FactorCurrent leading-edge projection optics systems in step-pers reduce the size of the features to one-quarter orone-fifth their size on the reticle. Historically, youcould rely on the errors being reduced by the samereduction factor. This would be expressed as a mask

Estimate of current production overlay

1999 2002 2005 2008 2011

180 nm 130 nm 100 nm 70 nm 50 nm

65 nm 45 nm 35 nm 25 nm 20 nm

15–18 nm 15–25 nm 20–60 nmAA system Stage Process (CMP)

Figure 1. SIA Roadmap for wafer level control.

Page 10: Autumn00

Autumn 2000 Yield Management Solutions10

S P E C I A L F O C U S

error enhancement factor (MEEF) of one. In sub-wave-length lithography, these errors are “enhanced” andresult in a greater error contribution. If the value of theMEEF is two, then a stepper would print an error onthe wafer which is half the size of the defect on the reticle instead of one-quarter the size.

This effect increases with decreasing line-width on agiven system. In DSW lithography, various opticalphenomena tend to magnify errors on the mask to aneven greater extent.3 One stepper might have a MEEFof 1.5 and another might have a MEEF of 1.8, dependingon the aberration function, illumination optics, anddetails of how the stepper is set up.

Proximity EffectsIn DSW lithography, enhanced interactions betweendifferent features are seen. Iso-dense bias is an exampleof a proximity-induced line-width variation that accel-erates with line-width reduction. Within the samelithography system, 20 nm of iso-dense bias at the 180 nm node, and more than twice that amount whenthe system is extended to the 100 nm node, might alsobe seen.4

The Traditional SolutionThe traditional way to solve these problems has been tofocus on the mask. That approach still works today.Making sure to etch the mask correctly, resolve phaseconflicts, and minimize proximity-induced translationscan reduce the impact. The MEEF can be renderedirrelevant by making a mask without any errors. Makingreticles with aggressive OPC features can minimizeproximity effects.

The problem with focusing exclusively on the mask isthat it’s a time-consuming and expensive way to solvethe problem. Here is what drives the costs of advancedphotomasks.

Drivers of mask costsThe main factors that determine mask costs are writetime, yield, and the complexity of the mask-makingprocess.

Write TimeThe amount of data required for writing the patternexplodes if the problem of proximity effects is beingsolved by adding aggressive OPC and scattering bars.If, for instance, it took six to ten hours to write a plate

at the 0.25 micron node, it would not be uncommonto see that write time double or even triple for anaggressive mask design at the 0.18 micron node.

YieldIn modern leading-edge mask making, several yieldbottlenecks exist. Aggressive specifications for CD uniformity, targeting, placement, and defectivity commonly apply simultaneously to the same mask. Inthis case, the impact on final shippable yield can be dramatic. For instance, if the yield of each parameter is 90 percent, a mask that requires the same level ofcomplexity for all four areas could result in a finalplate yield of 65 percent or less.

Project ComplexityComplex manufacturing techniques drive up maskcosts. For example, some advanced mask processesrequire dry rather than wet etch. A dry etcher is a moreexpensive machine and has a longer process cycle time.

There is a strong feeling that if a 180-degree phase shiftis executed by etching the mask in three 60-degreeincrements, the probability that certain types of defectswill result in unacceptable wafer results is minimized.This manufacturing technique obviously requires morelithography steps and etch iterations. It increases thecycle time and the opportunity for negative yieldimpact.

Masks as part of an integrated lithography systemIn certain situations, it might be cost effective to investin an expensive mask as the stand-alone solution. Inmemory chip production, for example, where one maskwill print tens of thousands of wafers, the cost of themask could be amortized over hundreds of thousands ofchips. On the other hand, in a true ASIC environmentwhere the mask will expose only a small number ofwafers, the impact of the reticle complexity and costscould completely dominate the non-recurring engineer-ing overhead for a given design and perhaps even limitthe market for that design.

In that case, the mask could be treated as only onecomponent in the integrated lithography system andthe system could be adjusted to optimize for cost. “Anintegrated lithography system” refers to design data,the stepper’s illumination system, projection optics, theresist, the etcher, and the wafer itself (Figure 2).

Page 11: Autumn00

Autumn 2000 Yield Management Solutions 11

Figure 2. A new perspective on mask making is required.

LITHOGRAPHY SYSTEMDESIGN WAFER

DesignSource

IlluminatorRETICLE

Projection OpticsResist

Pattern TransferConfirmation

The next step in sophistication would be to characterizethe projection optics in each stepper. For example, the projection optics might have a radial component to itsvariation. If a systematic iso-dense bias is a characteristicof a given lens design, that compensation could be builtinto the data for the reticle. The more comprehensivesolution, however, may be to understand the drivers ofthis systematic error and build the solution into thestepper selection and specification strategy.

By characterizing the optics in each stepper, a new wayof dealing with the mask error enhancement factor(MEEF) also is created. For example, a test reticle couldbe built to quantify MEEF for each stepper and thenlenses selected to allow reasonable reticle specifications.If the non-uniformity across the reticle has a systematicstructure that corresponds to a particular lens aberration,that reticle could be restricted to steppers that liebelow a given threshold for that particular aberration.

Here is another example. Recently a customer ordered atype of contact mask traditionally made with an e-beammask writer. According to conventional wisdom, e-beamsystems produce features with better fidelity under certain conditions. The customer agreed that a maskwith better cycle time could be made using a laser-basedsystem. The mask was written and the customer printeda contact layer with it. The features on the wafer weretoo small. The customer immediately assumed that themask did not meet specification. Closer inspectionrevealed that while the features did meet the specification,the features on the mask did indeed look “different”(Figures 3 and 4).

The customer examined the mask and saw that the corner rounding was different from what was usuallyseen. The features on the wafer were indeed too small,but strictly speaking, the reason wasn’t the degree ornature of corner rounding on the reticle—rather, the

In DSW lithography, a change in any of those elementscan affect the final printed image on the wafer. Theknowledge base of how these components of the systemfit together are continuously being improved

In one recent example, a customer requirement thathad delivered good wafer results suddenly caused a different result at the wafer. Further investigationrevealed that it was not a change in the reticle processor results which had caused the wafer change, but achange in the resist system. In this case, the metric wasiso-dense bias. The new resist system had a differentresponse under certain conditions for iso-dense bias.That different response, coupled with a narrow processmargin, can cause unacceptable results unless someoneadjusts other reticle parameters to compensate.

The conventional approach would have been to investi-gate what went wrong with the reticle process and tospend additional time and money to make a new one.In the integrated system approach, it may be morecost-effective or expedient to adjust another parameter,such as partial coherence, to improve the result.

The key point is that in DSW lithography the variouselements of the lithography system are becomingincreasingly interdependent. The lithography commu-nity should take collective advantage of this by learningto tune the various elements of the lithography systemto make good wafers, rather than relying totally on thereticle as a stand-alone element as has been done in thepast.

Here are some examples of how this might be accom-plished. In its simplest form, it would require a morecomplete integration of the mask vendor and the cus-tomer in the design process. In that way, the morecommon errors can be avoided and opportunities fordesign for manufacturability leveraged.

S P E C I A L F O C U S

Figures 3 and 4. Slightly “dif ferent” mask features.

Page 12: Autumn00

measurement associated with the specification wasinsufficient to define the contact as acceptable. In thiscase, the area of the contact is more important than agiven diameter measurement. After the area of the contacts was optimized, the laser-based reticle producedthe same results on the wafer as the e-beam reticle,despite the popular myth that image fidelity is alwaysthe most important measure of reticle “quality”.

The conventional method of dealing with the problemmay have been to reject the laser-based solution.However, by looking more deeply at the system, themetrics could be modified and a correlation establishedthat would allow a solution clearly more cost effective.A different element in the lithography system wasmodified to get the desired result in the simplest andcheapest way.

SummaryIn some cases, it might be more cost effective or efficientto focus entirely on making a “perfect” high-tech reticle

that would work with a lithography system as presentlyconstituted. But in other cases, costs can be cut andtime saved by modeling the entire lithography systemand improving or adjusting one or more elements tocreate a solution. Masks are no longer a commodity. Theright mask for each situation depends on the intendedapplication and what variables should be optimized.Masks have truly become an application-specific product.

Reference:1. DSW lithography is defined here as imaging features

below one-half the wavelength of the exposure illumination2. C. J. Progler, S. J. Bukofsky, D. C. Wheeler, “Method to

Budget and Optimize Total Device Overlay”, SPIE Pro-ceedings 3679, 1999

3. A. K. Wong, R. A. Ferguson, L. W. Liebmann, S. M.Mansfield, A. F. Molless, M. O. Neisser, “Lithographic Ef-fects of Mask-Critical Dimension Error”, SPIE Proceedings3334, 1998

4. B.W. Smith, R. Schlief, “ Understanding Lens Aberrationsand Influences to Lithographic Imaging”, SPIE Proceedings4000, 2000

S P E C I A L F O C U S

Import. Compare. Analyze.

For more information visit our website at www.kla-tencor.com/siam

Post-OPC Design PROLITH Simulation CD SEM Reticle Image

Quantify critical shape differences. Easily. With Klarity ProDATA’s SEM Image Analysis Module (SIAM™)*

* An optional feature of Klarity ProDATA. circle RS#048

Page 13: Autumn00

PROCESS YIELD OPTIMIZATION

Looking To Improve CD UniformityAnd Enhance Process Yields?

NanoRange IID™ Advanced BinaryReticles Produce Industry-LeadingWafer Yields

Photronics’ NanoRange IID™ reticles are winning

over customers with their unsurpassed CD

uniformity. With production processes now

in worldwide fan-out, NanoRange IID™

reticles can tackle the demands of

the 180nm technology node, achieving

improvements in CD uniformity of over

30%. Give us a call at 800-292-9396, or visit us

on the Web at www.photronics.com to learn what

NanoRange IID™ advanced binary reticles can do

for your process.

NanoRange IID™ Advanced BinaryReticles

◆ Proprietary laser-based dry-etchprocess

◆ Meets CD uniformity needs forcritical clear field poly levels at the 180nm technology node

◆ Takes maximum advantage ofinherent high throughput ALTA3500 tools

X only, single feature, full field (110x110mm) <20nm

X+Y, single feature, full field <23nm

X+Y, iso+dense, full field <25nm

X+Y, through pitch (0-50% die area) <23nm

X+Y, through pitch (50-75% die area) <25nm

X+Y, through pitch full field <30nm

0.650

0.670

0.690

0.710

0.730

0.610

0.630

0.650

0.670

0.690

Comparison of NanoRange IID™/Wet-Etch Process Uniformity

NanoRange IID™

CD Uniformity

Wet-Etch

For more information call Photronics at 800-292-9396 or visit us on the Web at www.photronics.com © 2000 All Rights Reserved. NanoRange IID is a trademark of Photronics, Inc.

PHOTRONICS, INC.

Page 14: Autumn00
Page 15: Autumn00

15Autumn 2000 Yield Management Solutions

Measurement andAnalysis of Reticle andWafer-Level Contributionsto Total CD Variation

Moshe Preil, Ph.D., KLA-Tencor

The impact of reticle CD variations on wafer-level CD performance has beengrowing with the trend toward sub-wavelength lithography. Reticle manufac-turing, CD specifications and qualification procedures must now take intoaccount the details of the wafer fab exposure and process conditions, as well asthe mask process. The entire pattern transfer procedure, from design to reticle towafer to electrical results, must be viewed as a system engineering problem. In thispaper we show how hardware and software tools, procedures, and analysis tech-niques are being developed to support the demanding requirements of the patterntransfer process in the era of 0.13 micron lithography.

IntroductionIn the not-too-distant past, reticle CD qualification was the realm of specialists:those few individuals who understood the arcana of reticle specifications,mask-making equipment, procedures and processes, and the unique technicaljargon of the mask shop. Mask-related conferences were the domain of these spe-cialists, with limited involvement from wafer fab engineers. With few excep-tions, most lithography engineers knew little about how an incoming reticlehad been qualified. The assumption was that it met the specs, so it must be a“good” reticle; no further information was required. The reticle was viewed asa consumable, delivered to the fab by external sources just like photoresist, DIwater, and other cleanroom supplies.

With the ever-shrinking CDs on today’s reticles and the advent of complexoptical extensions such as phase shift masks (PSM) and optical proximity cor-rection (OPC), reticle-related issues are becoming an increasing part of wafer-level CD control. More and more lithographers are actively investigating therole of reticle CD variations in the final wafer CD distribution. A clear sign ofthis trend is the increasing attendance and active participation by fab engineersat the BACUS Symposium on Photomask Technology, once the exclusive gath-ering of the reticle community (the “chrome users” in the acronym BACUS).

SSttoorryyCover

Page 16: Autumn00

16 Autumn 2000 Yield Management Solutions

CD control is now so tight that noone can afford to overlook the criticalrole of reticle CD variation in estab-lishing viable wafer-level CD errorbudgets. The dreaded mask errorenhancement factor1 (MEEF) meansreticle CD errors do not transfer lin-early to the wafer level. The lithogra-phy process involves a complex trans-fer function from reticle to wafer. Thedetails of this transfer function mustbe understood for each type of reticlefeature printed. OPC and PSMs addanother level of complexity as theyadd critical interaction terms betweenreticle and wafer patterns. Only byaddressing the entire pattern trans-fer process at a systems engineeringlevel can users establish workableerror budgets, wafer and reticle spec-ifications and inspection strategies,and process control limits2.

Separating reticle andwafer CD errorsWith the total allowed CD variationnow in the 10-30 nm range, everynanometer of allowed variation mustbe carefully assigned in the errorbudget. Allowing for excessive vari-ation in one component could imposeunachievable targets for other ele-ments of the budget. The developmentof viable CD-error budgets requiresa detailed analysis of the sources ofvariation3 (SoV) and their impact onthe final CD distribution. SoV studiesare an increasingly important tool indeveloping both processes andadvanced control algorithms. One ofthe primary deliverables of the NISTATP4 project for poly gate CD controlbeing managed by KLA-Tencor is anSoV study to drive feed forward andfeed back advanced process control(APC) procedures. Effectively decom-posing the sources of CD error requiresseparating reticle CD variations fromthe wafer level CD distribution. Thisseparation is not simply a matter ofsubtraction due to the complexities ofthe mask error enhancement factor.

the pattern transfer process. In thiscase, the radial variation seen in thereticle data is no longer apparent inthe wafer level data due to the additionof other, non-radial signatures anddifferences in the types of featuresbeing printed in each portion of thereticle field. Note that the waferlevel data is shown here for only onefixed set of process conditions. To fullycomprehend the impact of maskerrors across the entire process win-dow, wafer data needs to be collectedover many combinations of focus,exposure, and other key parameters.

The data can be analyzed both exper-imentally and through modeling tounderstand all of the contributionsand to extract the underlying sourcesof variation. The experimental dataat both reticle and wafer levels is col-lected here with the KLA-Tencor8200 series CD SEMs. This family ofSEMs is uniquely suited to this taskthrough its use of laser interferome-ter stages which allows the user tomeasure the exact same features atboth reticle and wafer levels foraccurate, feature-specific correlation.Combined with automatic recipe

The concept of the MEEF has beendiscussed extensively in the litera-ture5,6,7. In fact, the MEEF is really amisnomer; it is not a simple multi-plicative factor, but rather a functionof feature type, size, stepper conditions(numerical aperture, sigma, aberra-tions, focus and exposure settings),and process parameters—even thespecific type of photoresist used in theprocess can affect the MEEF. It is notenough to say that a given featurehas an error of N nm and an MEEFof M, leading to a wafer level error of(N*M)/R, where R is the reductionratio of the stepper. In order to sepa-rate the reticle contributions from thetotal CD variation, the MEEF mustbe calibrated for each feature type overthe full range of process conditions.

Figure 1 illustrates the basic conceptand tools for separating reticle andwafer effects. The figure is dividedinto reticle and wafer spaces, but thetwo domains are closely coupled bythe complex transfer function thatcontains all of the details of theMEF. As shown schematically in thefigure, the CD signature of the reticlecan be significantly altered through

8250-R

Mask CD Mask CD Uniformity RETICLE SPACE

WAFER SPACE

Other reticle data(OPC, PSM layout,inspection results)

PROLITHSimulation

of Reticle toWafer Pattern

Transfer

8200

Wafer CDProcess

Stepper

Process Data

Lens AberrationData (optional)

Simulated-Measured,Wafer Mask: IsolatedSources of Variation

Measured WaferCD Uniformity

Simulated WaferCD Uniformity

Measure

Figure 1. Separating reticle and wafer contributions to the CD error distribution.

C O V E R S T O R Y

Page 17: Autumn00

17Autumn 2000 Yield Management Solutions

reticle and wafer SEMs, and modelingprovides the tools needed by bothmask shop and wafer fab engineers tofully characterize the impact of reticleCD errors on wafer level processwindows. This information can thenbe used to set reticle level CD speci-fications for even the most advancedprocesses.

Reticle CD qualification Once the reticle CD specificationshave been established, a strategy isneeded to verify reticle CD perfor-mance. Historically, reticle CD qual-ification has been done with opticalmeasurements on a limited numberof special test structures. While thishas been adequate in the past, it isrisky—to say the least—to assumethat millions of complex devicegeometries are in spec based on datafrom a few dozen test sites. State-of-the-art reticle inspection systems andalgorithms have been developed todetect localized CD errors anywherewithin the reticle; however, these areerror detectors, not metrology tools.The reticle SEMs can measure largenumbers of reticle CDs in a reason-able period of time, but even the

SEM can only sample a fraction ofthe full reticle pattern. A completesolution to reticle CD qualificationrequires both reticle inspection andCD-SEM measurement10. The inspec-tor covers 100 percent of the reticle atlower CD resolution; the SEM cansample the reticle with much higheraccuracy. Even this combination can-not ensure that every single feature iswithin spec; but, fortunately, themask-making process is fairly uni-form. Any error in the writing oretching of the reticle is likely tocover a large enough area to be cap-tured by the inspection and metrologytools. Careful study of the spatial frequencies of CD variation across thereticle can allow the user to select theSEM sampling frequency for the opti-mal characterization of the completereticle CD distribution.

The role of optical extensions The combination of reticle, CD SEMs,and simulation is also a powerfultool for calibrating optical extensionprocesses and developing the rules andmodels used to apply these exten-sions to product reticles. Figure 2

creation based on reticle layout data,the CD SEMs can quickly collectlarge volumes of data correlatingspecific features from the reticle tothe wafer over a wide range of focusand exposure conditions.

The wafer CD data can be analyzedautomatically using Klarity ProDATAsoftware to calculate the commonprocess window across multiple crit-ical features8. In addition, the SEMimages of the reticle and as-printedfeatures can be overlaid to provide avisual assessment of systematic reti-cle-to-wafer pattern transfer effects.Differences in the source and resultantimage can be quantified using theCritical Shape Measurement capabilityavailable with ProDATA. Finally,MEEF values which have been deter-mined from prior experiments ormodeling can be applied to the reticleCD data to predict the expected waferCD pattern. Differences between theexpected and measured wafer resultsindicate other sources of variance. Inthis example, the pattern that emergesafter subtracting the radial reticle sig-nature, shows a clear top-to-bottomvariation across the lens field, indi-cating a tilted focal plane.

Even with the highest speed SEMsavailable, it would be prohibitivelyslow to measure wafer data over allcombinations of focus, exposure andother critical process parameters. Thisis where simulation proves its value inbridging the gap between reticle spaceand wafer space. In this case, themodeling is shown in Figure 1 usingknown lens aberrations and measuredreticle CD data; these inputs are runthrough the PROLITH modelingprogram to predict the expected waferlevel results9. Calibrating the simu-lation against experimental data pro-vides confidence that the simulatedresults will be valid over a muchbroader and more detailed range ofprocess conditions than are accessibleexperimentally. The combination of

C O V E R S T O R Y

Figure 2. Schematic diagram of the optical extensions process flow..

Development

Dynamic Process

Calibration FlowProduct Flow

OE Software FlowData/Control Flow

Production

p

Process

Measure

Measure

Measure

Measure

OE TestReticle

WAFERS

WAFERS

CompensatedReticle

StartingDesign

OE SOFTWAREGENERATE

RULESGENERATEOE RETICLE

Process

DATA

Page 18: Autumn00

18 Autumn 2000 Yield Management Solutions

with the ALM300 algorithm pro-vides 100% capture of localized CDerrors as small as 45 nm10. CD and defect informationfrom the reticle inspector can belinked to CD SEM measurements andimages through the KLA-Tencor 9xserver and X-LINK file transferfunction13. Finally, both reticle andwafer SEM images can be superim-posed over the intended design datafor complete pattern qualificationusing the latest version of KLA-Tencor’s Klarity ProDATA software.This combination of hardware andsoftware helps to bridge the dividebetween mask shops and wafer fabs,and enables the user to inspect, mea-sure, and characterize the total reti-cle CD performance. New hardwareplatforms such as the TeraScan™inspection system will furtherimprove CD qualification capabili-ties and keep pace with the increas-ing complexity and critical nature ofleading edge reticles.

shows a schematic illustration of theoptical extensions process flow.Model or rule-based corrections aredetermined from the calibrationcycle shown in the upper part of thefigure. The use of CD-SEMs to mea-sure both reticle and wafer features isabsolutely essential in this cycle toensure that the corrections derivedfrom this activity are as accurate aspossible. In principle, these correc-tions can then be applied to productreticles in a straightforward manner.In practice, the corrections need tobe fine-tuned through numerousiterations of the calibration cycle.

A large part of the difficulty inimplementing optical extensions istheir sensitivity to numerous processvariables and the dynamic variationsin these parameters, even in the bestfabs. As a result, the process condi-tions which prevail when productiondesigns are manufactured may besubtly different from those thatexisted when the calibration was per-formed. The calibration cycle must beperformed over a wide enough range ofprocess conditions to ensure that theextensions applied to product reticleswill result in acceptable wafer patternsover a maintainable process window,not just under ideal exposure condi-tions. Analysis of these calibrationlots allows the user to predict whichspecific types of OE structures have thenarrowest process windows. Manu-facturing insertion of optical exten-sions requires the implementation ofeffective monitoring procedures toensure that even these most criticalfeatures will be printed correctly andconsistently.

Another difficulty in implementa-tion is that, even if the optical exten-sions are perfectly optimized for ade-quate process windows, this does notguarantee that the actual reticle willbe a perfect reproduction of thatdesign11,12. Many OPC features areextremely small assist features, such

as scattering bars, serifs, and othersub-resolution structures. The fidelityof the mask-writing process for thesefeatures is not perfect. Structuresthat were supposed to be sharp willbecome rounded, and both thewidth and area of the assist featuresmay be far from the design values.Phase-shift masks also require addi-tional characterization, especially formulti-phase reticles. Small phaseerrors can result in unacceptable CDerrors; larger phase errors will printas defects. Qualifying productionreticles requires a combination ofstate-of-the-art reticle inspection toolsand reticle CD SEMs to measure andverify the fidelity of sub-resolutionoptical extensions.

Comprehensive reticlequalification solutionThese requirements can be met witha complete reticle qualification solu-tion that incorporates both hardwareand software as shown in Figure 3.The 365UVHR inspection system

Figure 3. KLA-Tencor reticle qualification solution.

C O V E R S T O R Y

■ 80nm

■ 60nm

■ 40nm

■ 20nm

■ 0

≈ 400µm Regionsof CD error on

Reticle

365UVHRALM300

Reticle CD Error

8250-RReticle CD map

Bridging on printed Wafer

Data Analysis

8250 CD SEMReview

X-LINK Module

File Transfer Server

Page 19: Autumn00

Autumn 2000 Yield Management Solutions 19

AcknowledgmentsThe themes presented in this paperrepresent the work of many differentindividuals and groups. The authorwishes to acknowledge the manymembers of the KLA-Tencor lithog-raphy community for their efforts indeveloping the ideas and productsdescribed here. Thanks to TonyVacca, Jim Wiley, Richard Elliott,Scott Ashknaz and Matt Hankinsonfor their help in preparing this paper.

References1. “Lithographic effects of mask CD

error,” A. Wong, R. Ferguson, L.Liebmann, S. Mansfield, A, Molless,and M. Neisser, SPIE Proceedings.Vol. 3334, p. 106 (1998).

2. “The business dynamics of lithographyat very low k1 factor,” S. Harrell andM. Preil, SPIE vol. 3679, p. 2 (1999).

3. “Sources of CD variation”, M. Han-kinson, in Lithography Solutions forCD Control in the 0.13 micron Era,SEMI Technical Programs, SemiconWest 2000.

4. The National Institute of Standardsand Technology Advanced Tech-nology Program (NIST ATP) is agovernment-industry collaboration todevelop new technologies. Anoverview of the CD project can befound in “Intelligent control of thesemiconductor patterning process: ANIST ATP Program,” M. Hankinsonet. al., SEMATECH AEC/APC Sym-posium XI, Vail, CO, 1999.

5. “Analytic approach to understandingthe impact of mask errors on opticallithography,” C. Mack, Proc. SPIEvol. 4000, p. 215 (2000).

6. “Characterization of linewidth vari-ation,” A. Wong, A. Molless, T.Brunner, E. Coker, R. Fair, G. Mackand S. Mansfield, Proc. SPIE vol.4000, p. 184 (2000).

7. “The MEF: causes and implicationsfor process latitude,” J. van Schoot,J. Finders, K. van Ingen Schenau,M. Klaassen, C. Buijk, Proc. SPIEvol. 3679, p. 250 (1999).

8. “Data analysis for photolithography,”C. Mack, S. Jug, D. Legband, Proc.SPIE vol. 3677, p. 415, (1999).

9. “Inside PROLITH: A comprehensiveguide to optical lithography simu-lation,” 2nd ed., C. A. Mack, FINLETechnologies Press (Austin, TX, 1997).

10.“Techniques to detect and analyzephotomask CD uniformity,” A. Vacca,W. Ng, G. Anderson, B. Rockwell,A. Dong, D. Taylor, Proc. SPIE vol.3873, p. 209 (1999).

11.“Good OPC: Where will this drivemask CD tolerance and mask gridsize?,” D. Samuels, W. Maurer, T.Farrell, Proc. SPIE vol. 2621, p.588 (1995).

12.“Effect of real masks on wafer pat-terning,” C. Spence, R. Subraman-ian, D. Teng, E. Gallardo, Proc.SPIE vol. 4000, p. 54, (2000).

13.“Reticle quality management for sub-wavelength lithography,” I. Peterson,A. Klaum, E. Hou, Micro Magazine,September 2000 issue, in press.

14.Bill Arnold, quoted in Photronics,Inc. press release, June 13, 2000http://biz.yahoo.com/prnews/000613/fl_photron.html.

The systems approach toreticle qualificationHardware and software are critical todeveloping reticle solutions, buttools alone are not enough. Meetingthe evolving demands of reticlequalification requires a greater levelof cooperation and mutual involve-ment by both mask shop and waferfab engineers. Mask shops arejudged by the number of revenue-producing reticles they ship, whilefabs are judged by the number ofgood die they produce. Both con-stantly strive to maintain their costsat the lowest practical level. Thesepressures can often create conflictsbetween the need to control reticlecosts and the need to achieve thehighest reticle quality to improvewafer yield. The increasing technicaldemands of today’s leading-edge ret-icle processes do not allow the luxu-ry of treating the mask shop andwafer fab as separate entities withdifferent, sometimes competing,economic motivations. Mask and fabengineers will need to work closelyto develop production-worthy opti-cal extension processes, CD-errorbudgets, and reticle-qualificationprocedures. The interactions betweenfab-process parameters and reticle-CD variations will even drive maskshops to tailor the mask-makingprocess for specific end users andapplications. As Bill Arnold, execu-tive scientist of ASML, statedrecently14, “The day of the reticle asa commodity has passed, and all ele-ments of the lithography systemneed to be engineered to the highestperformance levels for successfulwafer image formation”. Hardwareand software provide the tools, butengineers in both the mask shop andthe wafer fab will need to use thesetools together to successfully addressthe challenge of engineering thecomplete lithography system.

C O V E R S T O R Y

About theAuthorMoshe Preil is thedirector of strategicmarketing in theL i t h o g r a p h yModule Solutions

Group at KLA-Tencor in San Jose. He iscurrently working on process and yieldmanagement solutions for lithography,developing software and methodologies toincrease yield and enhance productivity infab areas. He received his Ph.D. in physicsfrom the University of Pennsylvania,working in the areas of electron and opticalspectroscopies. For the past 15 years he hasworked in various areas of optical lithog-raphy, doing advanced development aswell as production. In his previous posi-tion at Advanced Micro Devices, he wasinvolved in the development of quartermicron tools and processes, specializing indeep-UV technology. He has also beenactive in the SEMATECH lithographycommunity, and helped to formulate thecurrent 193 nm development program atSEMATECH. Dr. Preil has taught severalcourses on the subject of optical lithogra-phy and has published a number of papersin the field.

Page 20: Autumn00

Spring 2000 Yield Management Solutions24

Yield ManagementSeminar

A valuable venue for innovative ideasKLA-Tencor’s Yield Management Seminars (YMS) focus on value-added, integrated processmodule control solutions for defect reduction, process parametric control and yield manage-ment. Key topics include navigating the transition to the 0.13 µm technology node, with specialemphasis on copper/low κ interconnect, sub-wavelength lithography, and the 300 mm wafer.

To register online for the upcoming YMS, go to: http://www.kla-tencor.com/seminar

Date: Wednesday, September 18, 2000Time: 9:00 am – 6:30 pmLocation: Hyatt Regency, Austin, Texas

For information on future YMS, please complete and return the enclosed business reply card.

Call for future papersPapers should focus on using KLA-Tencor tools and solutions to enhance yield throughincreased productivity and performance. If you are interested in presenting a paper at oneof our upcoming yield management seminars, please submit a one-page abstract to: Tavis Szeto by fax at (408) 875-4144 or email at [email protected].

YMS at a GlanceDATE LOCATION

October 18 Austin, Texas

December 7 Makuhari, Japan

February 21 Seoul, Korea

April 25 Munich, Germany

Page 21: Autumn00

Autumn 2000 Yield Management Solutions 21

LithographyS P E C I A L F O C U S

International SEMATECH: A Focus on the Photomask Industry

by Wally Carpenter, International SEMATECH, Inc. (*IBM Corporation Assignee)

It is well known that the semiconductor industry continues to drive performance improvements through lithography resolutiondevelopment. Further, the International Technology Roadmap for Semiconductors (ITRS) timing continues to be drivenaggressively, resulting in less inherent lithography resolution advantage against the desired linewidth. The effect has beento require significantly tightened photomask specifications with aggressive timing constraints. Mask Error EnhancementFactors (MEEF) and wavelength choices are driving a need for multiple options for the photomask end user, which includeAttenuated and Alternating Phase Shifting Masks. The compounded effect of the roadmap move-in results in extreme mea-sures being needed to ensure the photomask infrastructure will be ready for these demands.

It is this declining k1 that has fostered the Mask ErrorEnhancement Factors and the growing dependence on themask as an integral optical element, not just as a mask.We find we must incorporate into the mask materialsand features that enhance the inherent resolution capa-bility of the exposure tool. While this enhancement isrequired on only critical levels, the percentage of levelsthat are critical and need enhancement is increasingwith each succeeding technology node. For the 100 nmmask set, it is quite reasonable to expect several levelswill require a weak phase shift mask, with optical prox-imity correction, and several levels will require strongphase shift masks. The production of phase shift masks,

This article will review the changes andtrends that have occurred in the ITRS andtheir compounded impact on the photomaskindustry. Critical issues will be identifiedand addressed at a photomask industrylevel. Also, an overview of InternationalSEMATECH’s roadmap will focus on keycritical issues in the photomask industry.

IntroductionHistorically, the semiconductor industrybenefited from the fact that the lithographywavelength was several times shorter thanminimum linewidth. As we approach the130 nm technology node, however, we findwe will be using 193 nm lithography. Indeed,we will need to use 193 nm lithography tointroduce the 100 nm node, gaining thebenefit of 157 nm lithography some timeafter the first 100 nm production occurs.These continue the trend established at the180 nm node, using 248 nm lithography,where wavelength exceeds linewidth. Theimpact of this trend can be seen in thedeclining k1 represented in Figure 1. The k1

that will be available for the next few tech-nology nodes are shown by the heavier line.

180 130 100 70 50

0.2

0.3

0.4

0.5

0.1

0.6

k 1 w

ith

NA

= 0.

8

157nm

193nm

248nm

Exposure Wavelength

Technology Node

D e c l i n i n g k 1

Figure 1. k1 trend against technology node.

Page 22: Autumn00

Autumn 2000 Yield Management Solutions22

S P E C I A L F O C U S

weak and strong, cause the mask maker to incur morecost and time to produce, especially for the strongphase shift mask. Further, the equipment infrastructuresupporting the mask makers is not improving rapidlyenough to avoid increasing production turn times. As aresult, mask production times and cost are increasing,putting cost pressure on the end user. There isn’t asolution to this worsening economic situation on theimmediate horizon.

Options, strategies, and impactsSince 1994, SEMATECH and its successor, InternationalSEMATECH, have used the technology roadmap spon-sored by the Semiconductor Industry Association (SIA)to guide its work. The SIA roadmap began as a UnitedStates focused effort, encouraging a dialogue that hasproven useful in creating a reference specification setfor semiconductor manufacturers and their suppliers touse in their planning. Over the years, the effort and thebenefit have become international. The roadmap is nowreferred to as the International Technology Roadmapfor Semiconductors (ITRS).

The most salient aspect of the roadmap is the definitionof technology “nodes” and the timing for these nodes (a node is a set of requirements composed to supportsemiconductor manufacturing at a specific minimumlinewidth). Reflecting the general trend in technologyfor accelerating development, the timing of the futurenodes has always been shortened with each roadmapupdate. This acceleration is depicted in Figure 2. Notethat in anticipation of future timing acceleration and toensure developments are completed in a timely fashion,the Lithography Thrust in International SEMATECH

is targeting its 130 nm node work one year ahead ofthe 1999 Roadmap update and subsequent nodes twoyears ahead of the update.

For mask making, the acceleration has been moreaggressive than suggested by the node timing changes.Generally, with each roadmap update, the mask specifi-cations for each node have been tightened. The effecthas been to significantly accelerate and increase thetechnical demands on mask making. The effect on critical dimensions has been most pronounced, withInternational SEMATECH’s target being acceleratedforward up to five years in just the past two years. SeeFigures 3, 4, 5 and 6.

The impact of the five-year acceleration can be bestappreciated when it is understood that it takes four tofive years to develop a new mask writer or mask inspec-tion tool platform. Thus the impact of the accelerationhas been to eliminate the possibility of new platformsfor 100 nm node and perhaps the 70 nm node as well.Current platforms just now arriving to support the 130 nm node will have to be extended through the 100 nm and 70 nm pilot lines. The industry is relyingon incremental improvements to the mask-making toolbase being sufficient to address profound increases in thetechnical requirements and need to contain associatedcost increases. This is a risk.

Along with continuing acceleration of the technologynodes has been the evolution in lithography solutions.In 1991, it was believed optical lithography would endat the 180 nm node with 248 nm DUV lithographywhere the exposure wavelength was longer than thelinewidth. From 130 nm node and beyond we wouldbe using non-optical, next-generation lithography

’95 ’97 ’99 ’01 ’03 ’05 ’07 ’09 ’11

35

50

70

100

25

180

250

350

500

130

Year

1997

1994

1998/99

Best Case Opportunity ’99

ISMT Litho 2000 Plan

ITRS Trend

Figure 2. International technology roadmap for semiconductors — his-

torical acceleration.

2000 ’01 ’02 ’03 ’04 ’05 ’06

10

12

14

16

8

20

18

Mas

k CD

Uni

form

ity

(nm

)

Year

35%

5 Years

Node

NTRS 1997

ITRS 1999

ISMT Litho 2000

130 130

150

150

130

100

100 100

Figure 3. Mask CD uniformity at 130 nm technology node for dense

lines (DRAM half-pitch).

Page 23: Autumn00

Autumn 2000 Yield Management Solutions 23

S P E C I A L F O C U S

(NGL). In the intervening time the industry hasbecome creative in pursuing optical proximity correc-tion (OPC), phase shift masks (PSM) and changes instepper/scanner illumination to extract more resolutionthan implied by the exposure wavelength. The resulthas been the industry currently believes that by using157 nm exposure, optical lithography can be extendedto the 70 nm node with NGL being introduced in thetransition between the 70 nm and 50 nm nodes.International SEMATECH member companies generallyplan to use either 193 nm or 157 nm lithography forthe 100 nm node. By the 70 nm node, though, mostanticipate a change to NGL will be well underway andcompleted by the 50 nm node.

The significant points to extract from the lithographysolutions evolution are that it is indeed an evolution.Historically, the solutions have continually changed andwill likely continue to do so. International SEMATECHwill have to continue refocusing its efforts, adapting to

and fostering the change within the supplier community.A recently required adaptation has been the rapid esca-lation in 157 nm. As the node was accelerated forward,it became impossible for NGL to respond quicklyenough. Thus, an optical solution had to be found,with 157 nm selected, despite its unique problems.The 157 nm will be critical for the 70 nm node tohappen on schedule. With 157 nm lithography becom-ing identified as the critical solution for the latter 100nm and 70 nm nodes just five years before it is to beused in production, the industry faces a tough develop-mental and cost challenge. It should be noted that theindustry is allowing itself half the time to develop its157 nm capability as it allowed for development of the193 nm.

Mask defect inspection recent historyand future issuesMask defect inspection means many things to the maskmaker, end user and tool supplier. Depending on one’sown personal experience, defects can range from theclassic missing shapes and additional images, to actinicresiduals, corner rounding, phase errors and so on.However, when boiled down to its critical essence it issimply: “Will any abnormality on the mask print onthe wafer within the boundaries of my process? Todayor tomorrow?” As the wafer process engineer drives theprocess harder and tighter to gain the benefits of deviceperformance, abnormalities that were once in the noiselevel have now become critical issues.

Looking back and into the future, the choices madethree to five years ago are becoming today’s reality andalso the foundation we use to bridge into the future.One such choice was KLA-Tencor’s TeraScan DUV

’07 ’08 ’092000 ’01 ’02 ’03 ’04 ’05 ’06

6

8

10

12

4

16

14

Mas

k CD

Uni

form

ity

(nm

)

Year

45%

5 Years

Node

NTRS 1997

ITRS 1999

ISMT Litho 2000

( )

130

150

130

100

70

100

130

150

100

Figure 6. Mask CD uniformity at 100 nm technology node for isolated

lines (MPU gates).

2000 ’01 ’02 ’03 ’04 ’05 ’06

10

12

14

16

8

20

18

Mas

k CD

Uni

form

ity

(nm

)

Year

35%

5 Years

Node

NTRS 1997

ITRS 1999

ISMT Litho 2000

130 130

150

150

130

100

100 100

Figure 4. Mask CD uniformity at 130 nm technology node for isolated

lines (MPU gates).

’07 ’08 ’092000 ’01 ’02 ’03 ’04 ’05 ’06

10

12

14

16

0

20

18

Mas

k CD

Uni

form

ity

(nm

)

Year

40%

5 Years

Node

NTRS 1997

ITRS 1999

ISMT Litho 2000

130

150

130

100

70100

130

150

100

Figure 5. Mask CD uniformity at 100 nm technology node for dense

lines (DRAM half-pitch).

Page 24: Autumn00

Autumn 2000 Yield Management Solutions24

mask defect inspection system, which was developedbased on the mask industry’s projections and supportedby International SEMATECH.

ISMT membership had become increasingly concernedwith the state of the mask inspection infrastructure in1996 and foresaw the future at that time as one of anincremental improvement of the base 488 nm-wave-length platform. The industry was largely convertingto 248 nm-wavelength exposure systems and makingsignificant progress to 180 nm-lithography groundrules. This began the current methodology of workingin sub-wavelength lithography as a matter of necessity.

While the wafer industry was making these significanttransitions, there began a growing concern about at ornear stepper wavelength defects that would not bedetectable by the then-current mask defect inspectionsystems. This primary concern combined with thephysically shrinking defect size demands on masks thatwould likely not be resolved by 488 nm-based opticsresulted in International SEMATECH’s recognition thatfocus must be applied here. This was key to ensuringmask defect inspection technology capability existedwhen required.

KLA-Tencor clearly recognized an entirely newapproach would be required to meet the specificationsidentified by the ISMT members. KLA-Tencor also recognized a completely new platform had significantrisks and expenses for such a small but critical market.

With all of these parts at play, ISMT and KLA-Tencoragreed to a financial arrangement which allowed KLA-Tencor to ramp staffing quickly and provideleading-edge computing power used in rapidly identi-fying the most promising methods. New methods of project management were also employed on this programthat cleared the way for more progressive solutions.

A risk mitigation plan was also supported and imple-mented to ensure a shorter-term alternative path couldbe executed as needed. This plan involved an extensionof the existing 3XX platform and transitioning to ashorter wavelength (363.8 nm), thus regaining resolu-tion capability. The plan additionally provided a pathfor data processing performance improvements that,while not equal to the DUV system, would provide someinterim relief for leading-edge requirements. The riskmitigation plan proved successful. While KLA-Tencorhad not planned to sell these systems in quantity, itfound customers needed this added capability because

of rapid movement and change shrinking lithographydemands as evidenced by the ITRS Roadmap timingand requirements.

Throughout the DUV tool development, ISMT membersremained close to the process and continued to makeinput to the requirements of tool performance and timing,which kept development on pace with technologydemands. The list of critical risks was retired early inthe process leaving a substantial list of issues that havebeen addressed and closed through the remaining time.While not to the original schedule, KLA-Tencor volun-tarily stepped up the challenges of meeting the moreaggressive roadmap targets without changing the originalcontract agreement.

The DUV TeraScan platform will soon be shipped to customers throughout the world operating at a DUVinspection wavelength, are capable of meeting 130 nmnode ground rules for binary masks and inspecting for some attenuated and alternating mask conditions.The next critical step being addressed by ISMT andKLA-Tencor is in 193 nm Alternating Phase Shift Masks(Alt PSM). ISMT members have sent a strong messageto the industry and KLA-Tencor regarding its Alt PSMmask defect inspection requirements. The focus anddirection is also clearer than at anytime in the past forthis form of mask technology. What is making this neweffort successful is that ISMT members are workingtogether as a team in defining these critical specificationsand, secondly, KLA-Tencor is listening and workingtoward meeting those requirements.

Looking forward, mask defect inspection can move downmany branches. One is to remain close to the scannersat wavelength performance capability such as 193 nmor even 157 nm wavelengths. Another is continuing toaddress phase shift capability for both attenuated andalternating forms; they will become a mainstream inthe foreseeable future. Yet another is to branch intoaddressing linewidth variations across the mask at highor low frequency orders to ensure CD uniformity errorsare captured. At the 100 nm-technology node andbelow, CD errors are as important to capture as themore classic defects.

Mask costsAs previously mentioned, mask cost is becoming a significant economic factor, especially for ASIC manu-facturers. For years the industry has operated with anearly flat mask cost increase and benefited from the

S P E C I A L F O C U S

Page 25: Autumn00

Autumn 2000 Yield Management Solutions 25

economies of scale as the mask industry consolidated.However, as the industry entered the 180 nm node,along with its declining k1, mask cost began to risesignificantly. This is displayed in a recent InternationalSEMATECH analysis depicted in Figure 7. Typicalmask costs are doubling from the 250 nm node to the130 nm node and likely tripling by the 100 nm node.These cost growths are reflecting the greater equipmentcost and longer mask build cycles of the more complexmasks being demanded. The paramount factor in themask cost remains the mask write, as shown in Figure 8,while mask defect inspection is most improved.International SEMATECH’s focus is containing thewrite time growth and pursuing yield improvements,such as with mask repair, to avoid having to re-writescrapped masks or reduce the multiple write stepsrequired for alternating PSM.

ConclusionThe International SEMATECH membership supportsand endorses driving the ITRS Roadmap requirementsto a 2-year cycle through the 100 nm lithography technology node. Currently, membership supports a 3-year cycle beyond the 100 nm node. It is projectedthat as the necessary learning occurs to address 100 nmnode issues on this cycle it may become the position ofInternational SEMATECH to push for a 2-year cyclebeyond 100 nm.

Changes to the ITRS Roadmap go well beyond a simpletiming shift as previously seen in this roadmap. Theimpact is compounded by the influence of mask usage

in low k1 imaging solutions that drive much higherMask Error Enhancement Factors. The tightened maskspecifications drive 2-3 years of additional pull-in tothe roadmap for mask CD uniformity and defect sizeissues.

Mask types at the 100 nm node will include 193 nmand 157 nm applications as well as Binary, Attenuatedand Alternating forms. The influence of these multipleapplications will selectively affect the mask ITRS spec-ifications that will in turn affect the mask equipmentdevelopment requirements. International SEMATECHand Selete see their responsibility to include ensuringappropriate requirements are dictated to the equipmentsuppliers as over specifying or under specifying a systemcan significantly effect mask costs and appropriatemask availability.

Finally, the specific issues and solutions to bring maskdefect inspection capability to the industry was not achoice but a requirement. Future issues of mask inspection, write and repair must be considered globalissues that must be addressed collaboratively throughInternational SEMATECH and SELETE. 157 nm issues of blanks transmission, surface contamination andElectrostatic Discharge (ESD) are currently beingaddressed through global and collective collaboration.Prospects for solutions appear promising.

All Equip.Cost

All Equip.Time

E-beamWrite

LaserWrite

BlankCost

Yield PatternInspect

$5K

$10K

$15K

$20K

$0

$25K

Cost

Sav

ings

Negated Parameter

157–70 altPSM ($33K)

157–100 OPC ($23K)

Figure 8. Mask cost drivers.

S P E C I A L F O C U S

$5K

$10K

$15K

$20K

$0

$25K

$30K

$35K

$40K

$45K

$50K

250nm 180nm 130nm 100nm 70nm 50nm

Technology Node

248nm Binary248nm OPC193nm OPC193nm PSM (90/270)157nm nm OPC157nm PSM (90/270)EUVX-RayScalpelIPL (compliant)IPL (non-compliant)

Figure 7. International SEMATECH mask cost analysis.

Page 26: Autumn00

Imaging Solutions For Semiconductor Producers and Their Customers

h DuPont Photomasks, Inc. www.photomask.com

Strong & Weak Phase Shift MasksModerate & Aggressive OPC Masks

Advanced Binary MasksPellicles—248nm

Photoblanks—193nm Phase Shift

Faster DevicesLower Power Devices

Higher YieldsExtends Stepper LifeMore Die Per Wafer

Improves Process Latitude

Strong & Weak Phase Shift MasksModerate & Aggressive OPC Masks

Advanced Binary MasksPellicles—248nm

Photoblanks—193nm Phase Shift

Page 27: Autumn00

Lithography

Autumn 2000 Yield Management Solutions 27

Multiple mask typesAt DuPont Photomasks Inc. (DPI) it hasbecome apparent customers with differentproducts, processes, business models andlithography strategies need masks with different optical enhancement technologies.For example, consider trying to build apolysilicon layer. Polysilicon layers are verysensitive to CD variations and require highresolution. For the 130-nm node, IC manu-facturers can choose from several approaches:1) alternating aperture phase shift masks(AAPSM, see Figure 2 for examples), 2)aggressive optical proximity correction(OPC), 3) embedded attenuated phase shiftmasks (EAPSM) with or without OPC, orfinally 4) a 193-nm platform, which may ormay not require optical enhancements at130 nm, depending on the design.

The alternating aperture phase shift maskprovides the most difficulty for the maskmanufacturer, but it will also provide themost value for some types of customers. Forexample, a customer who is making micro-processors may favor AAPSMs becausethose masks potentially offer the best CDcontrol, which has a significant impact onmicroprocessor speed. The customer pays

the incremental cost for an AAPSM because it willimprove his binning yields and thereby increase hisrevenue and profitability. Similarly, a DRAM manufac-turer might select an AAPSM because he can amortizethe cost of the mask over tens of millions of identicaldevices. Certain chip designs are not as CD sensitive asothers and therefore the design can drive which opticalenhancement technique makes the most sense.

Additionally, ASIC-type customers process relativelyfew wafers per design. These customers might selectOPC because the mask is less expensive with fastercycle times. The optimal type of OPC depends on thedesign as well as the design rules that are chosen. Forexample, the chip may not have enough real estate forscattering bars or aggressive OPC.

The Past Sub-Wavelength Era

Binary Photomasks Binary Photomasks

Attenuated,Embedded PSM

for 248nm

AggressiveOptical Proximity

Correction

Critical GateLayers

AlternatingAperture PSM

EUVScalpel/EPL

Attenuated,Embedded PSM

for 157nm

Attenuated,Embedded PSM

for 193nm

Figure 1. The Sub-Wavelength Era brings broader product offerings.

S P E C I A L F O C U S

A Proliferation of Masks Why We Need Them, How to Pay for Them

by Paul Chipman, DuPont Photomasks Inc.

Until recently, the manufacture of photomasks was fairly straightforward. Twenty years ago, we made 1X masters forPerkin-Elmer scanners. Ten years ago, we switched to 5X reticles. MEBES III’s, PBS, and KLA 200’s ran high yieldswith low costs. Now everything has changed; with the advent of the sub-wavelength era, mask complexity is growing almostexponentially. Different mask types are generating significant value for different types of semiconductor manufacturers. Tohelp the chip industry stay on its accelerated road map, mask manufacturers must broaden product offerings, as shown inFigure 1, to include even more varieties of masks with multiple types of optical enhancements. Of course, we can afford todevelop and deploy advanced photomask technology globally only if we can earn an attractive return for our shareholders.Our customers can help keep the photomask industry healthy by developing meaningful specifications, paying reasonableprices and forming creative partnerships with us to help offset some of the significant investment and cost.

Page 28: Autumn00

Autumn 2000 Yield Management Solutions28

The variety of phase shift masks will increase with theadvent of the 130-nm and 100-nm nodes because theindustry will move to shorter exposure wavelengths,which will require different blank materials and differentpellicle materials. Each successive generation of steppersprovides less of an advantage over the previous genera-tion with respect to resolution. Many people believe193-nm lithography will provide a significant benefitover 248-nm lithography only if we integrate opticalenhancements into 193-nm masks from the very begin-ning. Mask manufacturers must prepare to provide193-nm masks with strong shifters, EAPSM and OPC.We will have to provide a wide range of masks duringthe transition because some customers will be bringingup their 193-nm steppers, others will be pushing their248-nm system and still other groups will be developing157-nm systems.

While optical lithography has always surprised us withits incredible longevity, one day the semiconductorindustry may indeed have to change to a non-opticaltechnology. The leading candidates are EUV and EPL,which will require substantial investments in new photomask materials and manufacturing technology.

Meaningful specificationsIn the past, mask manufacturers typically had the technical capability to exceed customers’ requirements,particularly with the advent of reduction lithography. Atighter specification wouldn’t require a new mask writeror a new inspection system. But now, each successiveturn of the crank on specifications requires significantnew investment and development.

For masks that appear to have similar design rules, wesee a wide range of specifications between customers,sometimes even from different fabs owned by the sameIC manufacturer. As many manufacturers buy theirequipment from many of the same suppliers, we believethe difference in photomask specifications from fab tofab may have more to do with tradition and philosophythan science.

I encourage leading IC manufacturers to use scientificmethods for determining specifications—simulationscan be useful, but experiments are even better. Willtighter photomask specifications correspond to yieldimprovements in the fab? If not, don’t ask for them.If so, making an expensive optically enhanced mask tosatisfy a set of stringent specifications is of value whenit has a direct relationship to chip performance.

The choice of specifications for defects, CD, registration,phase control and OPC features is a method of riskmanagement. The previous strategy of a completelydefect-free reticle probably made some sense whenmasks were a relatively inexpensive commodity. Nowthat masks are becoming an expensive and enablingtechnology for lithography, perhaps it’s not always themost cost effective approach. For example, ASIC com-panies trying to produce 100 die for a prototype out offive wafers with 1000 die/wafer may not need to worryabout a defect which prints 0.1 percent of the time.

What’s the most cost effective approach to risk manage-ment in your situation? Would you like us to eliminateall your risk by making a perfect mask? As long as thetradeoffs in cost and cycle time are clearly understood,we are pleased to deliver perfect masks.

Fair pricesPricing is an ongoing controversial subject. Fortunately,DPI has customers who come to say, “I’m willing topay a fair price. Let’s figure out what that is and moveon.” Fair prices adequately reflect the cost of buildingthe product with a reasonable margin—a margin thatallows us to develop the wide range of products thatwill enable our customers to stay on their roadmap.Market pressure may tend to suppress margins, but youneed a certain return on assets to continue to invest inthis very capital-intensive business.

Fair pricing can also take into consideration the valueof the mask to the customer. If a certain type of maskdelivers a yield improvement, or if it extends the useful

S P E C I A L F O C U S

Figure 2. Alternating aperture phase shift masks.

Cross Section

Multi-Phase

Complimentary

Page 29: Autumn00

Autumn 2000 Yield Management Solutions 29

lifetime of a stepper and thereby allows the customerto avoid capital investment, a higher price might befair because the mask provides more value.

PartnershipsHistorically, large captives such as AT&T, IBM andothers invested in, developed, and shared advancedphotomask technology. Over the past 15 years, mostcaptives have divested their internal photomask opera-tions to focus on their core competencies of designingand manufacturing semiconductors. The burden ofresearch and development is falling on the merchantphotomask producers, while the business model has notallowed for sufficient R&D expenditures. Joint venturessuch as DPI’s Reticle Technology Center (RTC) are onesolution. A joint venture isn’t the only possible vehicle.Partnerships between suppliers and customers can takemany other forms, such as sales contracts, commitmentsand development contracts.

SummarySemiconductor manufacturers will need an ever-expandingvariety of photomask technologies to stay on theirroadmaps (Figure 3) and maximize their profits. Todevelop and deploy these technologies globally, we maskmanufacturers will need our customers to providemeaningful specifications, pay reasonable prices and findsome mutually satisfactory way to support our develop-ment so we can deliver whatever mask technology isoptimal for your business at whatever time you need it.

S P E C I A L F O C U S

Figure 3. Semiconductor lithography roadmap.

Above Wavelength Sub-Wavelength

0.1µm

1.0µm

.03µm

.05µm

5.0µm

3.0µm

.02µm

2.0µm

10.0µm

1982 19841980 1986 1988 1990 1992 1994 1996 1998 2000 2002

Wavelength

Feature Size

Over the past 20 years, mask costs have gone from five percent of semiconductor revenues to a low of about one percent in 1995. The “5X holiday”, pellicles, mask industry consolidation, and over-capacity have all held maskcosts and prices low until recently. Today, photomask manufacturers must purchase $15M mask writers, $5Minspection tools, $2K photomask blanks, and significantly increase R&D spending. Phase shift masks requiremultiple separate writes and quartz etches. An optically enhanced photomask with phase shift and/or OPC fea-tures can write for 12-24 hours, or more. Photomask manufacturing is becoming more like semiconductormanufacturing with each generation. But, we don’t make hundreds of die per wafer; we can only make one at atime. Imagine where the semiconductor industry would be if they produced one die per wafer!

Photomask prices have begun to increase, driven solely by higher manufacturing costs, as the margins of pho-tomask producers have not appreciably risen. If the photomask industry is to continue to invest to help enableour customers to remain on Moore’s Law, margins must improve, return on investment must improve, and wemust earn the cost of capital to provide an acceptable return to our investors.

In the sub-wavelength era, photomasks provide value in numerous ways. Advanced photomasks have enabled ourcustomers to accelerate shrinks which:

* extend the life of their capital investment in facilities and equipment* enable more die per wafer* enable higher speed devices with lower power consumption

As photomasks deliver increasing value to semiconductor producers, we anticipate the photomask industry willcapture its fair share of this value to insure the global capability and capacity to meet the accelerated roadmaps inthe sub-wavelength era. — by Ken Rygler, DuPont Photomasks Inc.

Page 30: Autumn00

©2000 KLA-Tencor Corporation

You already know that 300 mm wafer technology is on the

way. But you might not be ready for how big it’s really going

to be. Or how many new challenges it’ll bring. Like uniformity

control in deposition, CMP, litho and etch processes, for

instance. And an increase in process-induced, center-to-edge

defectivity ratios. So along with a knowledge-

able and experienced partner, tomorrow’s fabs

need tools and control systems that are inte-

grated, automated and optimized for 300 mm.

Which is where we come in. With the only

complete 300 mm process module control solution available,

combining defect reduction, process parametric control and

yield management software. As well as applications and con-

sulting expertise. It’s how we’re making sure your fab stays

well ahead of the technology. And the competition. For more

information on all of our 300 mm offerings, please visit

www.kla-tencor.com/300mm, or call us at (800) 450-5308.

We’ll help put your future in a much better perspective.

THE GOOD NEWS IS, 300MM IS GOING TO CHANGE OUR WORLD.

THAT’S ALSO THE BAD NEWS.

ALREADY THERE.

circle RS#000

Page 31: Autumn00

Autumn 2000 Yield Management Solutions 31

Specifications for advanced photomasks are becoming more stringent as the industry shifts to smaller lithography nodes.Among various requirements for photomasks, the need for precise control of critical dimensions (CDs) and reduction of defectsare the hottest issues for photomask manufacturers.

In this article a unique photomask manufacturing method for precise CD control is described and an approach to defect-freemanufacturing (DFM) is discussed. To cancel CD errors, measuring resist CD after development is adopted. A mean totarget (MTT) ratio of less than ±15 nm is achieved using this method.

LithographyS P E C I A L F O C U S

Mask Making in the 130 nm Technology Node: an Approach to

Defect Free ManufacturingNaoya Hayashi, Shiho Sasaki, Toshifumi Yokoyama, Dai Nippon Printing Co., Ltd.

IntroductionAs semiconductor lithography developmentaccelerates, the requirements for photomasksbecome more and more stringent. This isespecially true for mean to target (MTT),uniformity of the critical dimension (CD)and tolerated defect size. According to thelatest ITRS roadmap shown in Table 1, thespecifications for photomasks of 130 nm-lithography node are: CD-MTT ±10 nm,CD uniformity (3σ) <13 nm and defectsize <104 nm. These specifications are diffi-cult to achieve with current manufacturingprocesses. In this study issues in the manu-facturing of 130 nm-node photomasks wereinvestigated, and a new processing strategyto achieve the tight specifications were suc-cessfully developed.

In our previous paper1, 2 we reported thatthe combination of a high acceleration volt-age e-beam writer, a chemically amplifiedresist (CAR) and dry etching was able toyield much smaller features. Sufficient CDuniformity is also shown as a fruit of theprocess with a CAR, but it was recognizedthat the technique to control CD-MTT has

to be improved to evade the influences of instabilities inthe process. To compensate for the errors in the CARprocess the CD had to be controlled during the actualpatterning process by feed forwarding.

In addition, to meet the tight specification for defect size,we have to introduce defect free manufacturing (DFM)technology to photomask manufacturing. Currently themost dominant cause of defects is particles of humanorigin. The use of an automated cluster process tool,where all the process tools are connected by a robothandler with each other, may reduce defects.3

’99 ‘00 ‘01 ‘02 ‘03 ‘04 ‘05 ‘06 ‘07 ‘08 ITRS ’99 180 130 100 70

Technology Node

MMIS 560 360 260 180

OPC 280 180 130 90

CD MTT (+/- nm) 14 10 8 6

CD Unif. (3σ, nm) 24 13/26* 10/20* 14*DRAM

MPU 16 10/20* 7/14* 10*

Image Placement 39 27 21 15(nm)

Defect Size (nm) 144 104 80 55

Mask Size (mm) 152 152 155/200

155/200(square/diameter)

Source: The International Technology Roadmap for Semiconductors* Alternating PSM only

Table 1. Mask technology roadmap.

Page 32: Autumn00

Autumn 2000 Yield Management Solutions32

This article proposes an approach to achieving preciseCD-MTT control and also provides an example of howa DFM concept in photomask manufacturing could berealized.

CD controlCD-MTT control is one of the key technologies to satisfythe specifications of the photomasks. There are severalerrors having impact on CD-MTT.

Differences in sensitivity between blank lots are one causeof errors. It is possible to correct exposure doses for eachblank lot by use of the data of a sensitivity check. Further-more, the influences of post exposure delay (PED) andpost coating delay (PCD) on CD-MTT also cause errors.

Attention should be given to the fact that stabilizingthose errors alone is not enough. Therefore, we have tointroduce an error-compensation concept in our processto reduce the influence of those errors.

The method is based on the concept of correcting theerrors by feed-forwarding information on the resist CD tothe descum step before the dry-etch process. The processflow is illustrated in Figure 1. The resist CD is measuredafter development and the descum compensation step isdone. The CD error is canceled by changing the descum

time. An appropriate descum time is calculated on thebasis of the difference between the resist CD and final CD.

Figure 2 shows an experimental result for CD controlusing the descum compensation, where CD shift (definedas the difference between the resist CD and final or target CD) is plotted as a function of the descum time.The CD shift is found to increase linearly with the descum time. To adopt the descum compensation, thedescum condition was refined to achieve a high side-etch rate and less reduction of the resist thickness. Inthis way, if the resist CD can be measured precisely, itis possible to control the final CD to a high degree.

Figure 3 is a result for the CD controllability obtainedin production using the compensation. The final CDerrors are plotted for a series of production plates. Thesolid line shows actual CD data, whereas the dottedline shows CD data predicted if this method were notadopted. The CD controllability is found to be about

1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 43 45

-0.03

-0.02

-0.01

0

-0.04

0.02

0.03

0.04

0.05

0.01

CD M

TT E

rror

(nm

)

Simulation

Real

Figure 3. CD controlability for MTT using the compensation.

S P E C I A L F O C U S

Coating

Exposure

Dry Etch

Removal

Resist CDMeasurement Descum Compensation

Calculation Descum

Final CDMeasurement

Pre-sensitivity Check Pattern Information

PEB / DEV

Figure 1. Process flow of the compensation.

60

50

40

30

20

10

00 100 200 300 400

Descum Time/sec

CD S

hift

/nm

Figure 2. CD control using descum compensation.

Page 33: Autumn00

Autumn 2000 Yield Management Solutions 33

±15 nm; this is, however, not enough for manufacturing130 nm-node photomasks.

One of the reasons for this insufficient CD controllabilityis thought to be the dependence of the pattern densitiesfor various photomasks. Therefore, further investigationof the CD shift on pattern density in dry etching isrequired.

Additionally, the accuracy of measurement of the resistCDs is speculated to have affected CD controllability.In this production, the resist CDs were measured nearthe linearity limit of the measurement tool. Therefore,the linearity error was included in the previous mea-surement. This error needs to be reduced for meetingthe specification of 130 nm-node and beyond.

In order to reduce the errors described above, anadvanced measurement tool is required. One of thecandidates is a CD-SEM whichhas much better linearity tomeasure the resist CDs. Figure 4shows the measurement linearitydata of the current SiSCANsystem and a KLA-Tencor reticleCD SEM, the 8100XP-R. TheCD SEM system has betterlinearity than the SiSCAN.The limitation of the SiSCANis 0.8 µm and its linearityworsens below 1.4 µm. TheKLA-Tencor 8100XP-R keeps linearity down to 0.2 µm. Inconclusion, the measurementwith the SEM is advantageousfor fine patterns less than 1.0 µm.

Approach to a DFM processDefect control is growing in importance as smallergeometries, tighter specifications and adoption of opti-cal proximity correction patterns are required. Particles residing on the plate during the manufacturing processare thought to be the dominant cause of defects. Inparticular, particles found on alternating phase shiftmasks during their process easily yield shifter defects,which are now classified as killer defects because theyare barely removed by any current repair technology.Therefore, the development of a particle-free manufac-turing process is essential.

Figure 5 illustrates the cluster system installed in ourprocess line, which consists of an oven for post exposurebake for CARs, a developer, a stripper, a dry etcher, CDmeasurement tools, and a loader station. Each moduleis combined by a robot handler. In this system, an oper-ator does not need to touch masks once they are placedthem on the loader station.

The performance of the cluster tool was evaluated alongwith that of human handling. Human handling wasperformed as follows: An operator carries a mask in acarrying case from the oven to the developer, to themeasurement tool, then to the dry-etcher (one cycle). Inthis one cycle the operator opens the case at the loaderof each tool and puts the mask into the cassette in theloader by hand. When the process of the tool finishes, themask is put back into the case. During the cycle theoperator uses only one case. Four operators F, N, S, and T,carried the test for four consecutive cycles each. Amongthem, operators F and N were new to the job. Operator Salso used a commercial mask handler (or a pick) insteadof handling by her hands in the same procedure.

0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 2

-50

0

50

100

-100

400

450

500

550

350

CD D

evia

tion

(nm

):SE

M

CD D

evia

tion

(nm

):Si

Scan

Designed CD (µm)

SiScan 7325/iso-LINE

SEM/iso-LINE

Figure 4. CD controllability for MTT using the compensation and

optimized dry-etching condition.

S P E C I A L F O C U S

Figure 5. Schematic representation of the fully automated cluster process tool.

Page 34: Autumn00

34 Autumn 2000 Yield Management SolutionsAutumn 2000 Yield Management Solutions

Figure 6 shows the results of this evaluation, where theperformance of the cluster tool is compared with thatof human handling. Here the count of particles (withclassification in size) for each operator represents a sumof the counts of the particles detected on the four plateshandled by the operator. The cluster tool yielded one orzero particles. In the cases of human handling (both byhands and pick), the particle counts are found to corre-late with the amount of experience an operator had;that is, the inexperienced operators splashed many par-ticles on the plates.

Figure 7 shows defect control with the cluster tool. Here,the count of opaque killer defects is shown for 125commercial plates of 250-150 nm nodes. Even afteradopting the cluster tool, opaque killer defects were stilldetected on some plates. Although the origins of allkiller defects, could not always be identified, it wasproven that the killer defects occurring in the EB delin-eation process are successfully reduced by adopting thecluster tool.

ConclusionsWe have successfully developed a CD-MTT controlmethod with compensation. This compensation is con-ducted by measuring the post-development resist CD

and feed-forwarding its deviation from the target tothe descum time.

Several CD metrology tools were compared to selectthe most suitable one for compensation step. A KLA-Tencor CD SEM tool demonstrated excellent capabilityfor measuring the post-development resist CD, suitablefor 130 nm-node photomasks.

Furthermore, a fully automated cluster tool which con-sists of the process tools combined by a robot handlerdemonstrated a possibility of defect free manufacturing.

AcknowledgementsThe authors would like to acknowledge DaisukeTotsukawa, Hiro-o Nakagawa, Shigekazu Fujimoto andHiroshi Mohri of Dai Nippon Printing for technicalsupport, helpful discussions, and contributions to theexperiment. Special thanks to Masaru Endo of DaiNippon Printing Fine Electronics for his technical sup-port in developing the new controlling method.

Reference1. M. Kurihara et al., “Performance of a chemically amplified

positive resist for next generation photomask fabrication,”SPIE Vol.3412, 279(1988)

2. T. Abe et al., “Comparison of etching methods for sub-quar-ter micron ru le mask fabricat ion,” SPIE Vol.3412,163(1998)

3. A. Oelmann et al., “Results from the first fully automatedPBS-maskprocess and pelliclization,” SPIE Vol.2087,57(1993)

S P E C I A L F O C U S

0 20 40 60 80 100 120 140

1

2

3

4

0

6

7

8

9

10

5

Opaq

ue D

efec

t/Pl

ate

@ 1

st I

nspe

ctio

n

Product Plate

With Cluster ToolWith Stand-alone Systems

Figure 7. The effect of defect control with cluster tool.

F N T1 month 10 months 1 year

Pick Cluster Tool

5

10

15

20

25

30

0

Adde

d Pa

rtic

le/

Plat

e

Operators and Methods

1.4 –

0.8 – 1.4

0.3 – 0.8

0.25 – 0.3

Figure 6. Performance of the cluster tool compared with human

handling for reducing the particle count.

Page 35: Autumn00

Pushing the Limit:100 nm and Beyond

TeraScan 570 Deep UV Reticle Inspection System

To receive a FREE poster featuring this illustration of the TeraScan 570 visit our website: www.kla-tencor.com/poster

IC manufacturers are aggressively shrinking device geometries by pushing the limits of optical lithography. The TeraScan 570, KLA-Tencor’s new deep UV system, inspects the new complex patterns resulting from reticle enhancement techniques such as opticalproximity correction (OPC) and phase shifting mask (PSM).

The TeraScan 570 provides reticle-to-integrated circuit design inspection capabilitiesto enable the production of the most advanced ICs. This system provides a four-foldincrease in throughput compared to previous generation tools and can detect reticledefects below 100 nm. So photomask manufacturers can deliver the advanced reticlesneeded to push the limits of photolithography.

Page 36: Autumn00

Autumn 2000 Yield Management Solutions36

With the advent of 130 nm design rulescomes one certainty: lithographers will haveto deal with phase shifting masks (PSM),optical proximity correction (OPC), sub-wave-length low k1 lithography, and its by-product,the mask error enhancement factor (MEEF).

As a result of these challenges, robust maskand wafer characterization is mandatory.More importantly, mask and wafer charac-terization need to be clearly understood andcorrelated. The type of data taken from themask and wafer—and how it was taken—are critical to accomplishing this task.Additionally, “Best of Class” characteriza-tion systems can guarantee higher yieldsand improved dialogue between the maskmaker and mask user.

“Best of Class” systems can be defined asthose systems which provide accurate andtrue answers to the most challenging maskand wafer design rules. A “Best of Class”inspection or metrology system must havethe following characteristics:

■ accuracy

■ repeatability

■ reliability

■ ease of use

■ correlation to both mask and wafer metrology andinspection systems

■ integration with the complete metrology and inspec-tion scheme

As lithography challenges increase and k1 valuesbecome lower, stand-alone mask and wafer inspectionand metrology systems add little value to the completepicture of wafer fab yields and profitability. The com-plete lithography scheme, which includes mask andwafer lithography, requires a seamless metrology andcharacterization approach. This is best accomplished byhaving identical metrology and inspection systems inthe mask shop and fab. This approach becomes morecritical as fabs transition to the 130 nm technologynode. To better understand the challenges, it is essentialto review the mask requirements for this node.

130 nm technology node mask requirementsPrior to the advent of 130 nm design-rule technology,mask specifications provided some measure of quality.The parameters measured on the mask, however, oftenprovided poor correlation of the mask contribution to

The increased demand on mask fabricators to produce photomasks with tighter tolerances and with faster turnaround timeshas created a greater opportunity for undetected reticle anomalies to find their way into wafer fabs. Most recently, it hasbeen reported that critical dimension (CD) errors and contamination under pellicles have been detected on reticles in thewafer fabs1, 2. For this reason, it is becoming more critical for the mask maker and mask user to have the same reticle char-acterization tools so potential yield-detracting anomalies can be detected. This approach will help optimize both fab yieldsand revenues. In order to meet the challenges provided by 130 nm fabrication, “Best-of-Class” metrology and inspectiontools are required.

LithographyS P E C I A L F O C U S

Why Reticle Inspection Tools areRequired in both Photomask Shops and Wafer Fabsby Brian J. Grenon, Grenon Consulting, Inc.

Page 37: Autumn00

Autumn 2000 Yield Management Solutions 37

final fab yield and chip speed sorts. The fundamentalreason for this lack of correlation is essentially a resultof failure to measure the right parameters and obtainthe right quantity of measurements.

Table 1 provides an overview of the key parameters forvarious level 130 nm masks. Many of the parametersrepresent a new approach to defining mask quality.While there are other parameters that can be consid-ered, the parameters in the table provide the key ele-ments of a quality photomask. There are basically three different elements to a high quality mask: global/localized CD uniformity, global/localized placementaccuracy, and transmission integrity across the maskexposure field.

Any anomaly that alters the transmission integrityacross the field such that lithography ground rules can-not be maintained should be considered a defect. Thesedefects can be discreet opaque or clear defects, semi-transparent contaminants, or CD or placement defects.

A closer look at each of the specification elements willhelp to understand why “Best of Class” character-ization systems are required to meet the lithographychallenges.

CD measurement and characterizationMost CD measurements are made with optical mea-surement systems that have limited resolution and usea NIST linewidth measurement standard with a highdegree of uncertainty (~35nm) due to line-edge rough-ness. This uncertainty is higher than the tolerancesrequested by the mask user. It is, therefore, moreimportant to have the ability to correlate the errors onthe mask with what is found on the wafer. Additionally,the optical measurement limitation is around 0.50 µm,below which the measurements are suspect. Line-edgeroughness and corner rounding tend to be “smoothed”by photo-optical measurements.

What is required for meaningful understanding of maskquality is an image that is a true representation of thefeatures on the mask. CD SEM metrology providesimaging and measurements that better represent thetrue chrome features on the mask because edge anom-alies are taken into consideration. This is particularlytrue when measuring contact-level masks and maskswith OPC shapes, such as serifs and assist features.

Historically, CD uniformity was defined by measuringpre-defined images on the mask. These images wereeither “Ls” or crosses that were not part of the functionaldesign. Measurement of features that are part of thefunctional design is necessary to assure mask quality at130 nm technology. CD mean-to-target, uniformity,CD X/Y delta, isolated line-to-dense feature bias, andCD linearity must all be considered as part of the overallCD error budget. Photo-optical measurement systemsdo not have the capability to measure the small-errorvalues outlined in the mask specification. Additionally,130 nm mask specifications require more global andlocalized measurements to assure mask quality.

As shown in Figures 1a and 1b, localized errors can bedetected and verified by CD SEM tools. Photo-opticalmeasurement systems do not provide this capability. Asreported in Monahan et al., a comprehensive systemsapproach is required to understand reticle CD errors andtheir contribution to total lithographic process window.3

CD SEMs can also be used to map cross-field and cross-wafer errors, thus creating model-based uniformitymaps for generating feedback to the mask and waferlithographer. Since the reticle and wafer are measuredin the same tool, better reticle-to-wafer correlation canbe achieved.

S P E C I A L F O C U S

Parameter (nm) Ultra-Critical Critical Sub-Critical Non-CriticalTarget CD Layer Layer Layer Layer

360 nm 360 nm > 800 nm > 1200 nm

CD Tolerance 10 15 35 70

CD Uniformity 15 20 45 85

X/Y Delta 5 7 10 15

Iso/Dense 5 7 10 15Feature Bias

Linearity 5 7 10 15

CD Tool CD SEM CD SEM CD SEM CD SEM

Contact Layers CD Tool CD SEM CD SEM CD SEM CD SEM

Residual Registration 30 35 50 80

Butting/Stitching < 5 < 7 < 10 < 15Errors

Registration Tool Optical Optical Optical Optical

Pattern Defect Size 100 130 180 200

Contam. Defect Size 100 130 180 200

Inspection Wavelength < 365 nm < 365 nm < 365 nm < 365 nm

Repair Trans. Loss < 3% < 5% < 5% < 5%

Verify Tool AIMS or STARLight

Table 1. Mask specification for 130 nm technology mask set.

Page 38: Autumn00

Autumn 2000 Yield Management Solutions38

Mask registration and pattern placement errorsThe second key element to mask quality is mask regis-tration or image placement accuracy. While typicallynon-pelliclized masks are measured for mask registra-tion, it has been reported that pellicle-frame-induceddistortions often exceed the mask specification. Hence,there is a greater emphasis on measuring mask registra-tion after the pellicle has been mounted on the reticle.

While the degree of placement errors is determined bythe measurement of pre-defined crosses or “Ls” on thereticle, small localized placement errors at butting orstitching boundaries often contribute to the overallpattern placement accuracy. Butting/stitching errorscan be global or localized. These errors, not generallydetected by x/y measurement systems, are detected bydefect inspection systems. The magnitude of CD errorscan be easily measured using a CD SEM.

Mask defect detection and defectmetrologyIn the past, mask defects have been classified as opaque orclear. As we continue with sub-wavelength lithography(low k1), the “black and white” type of defect representsonly a small percentage of the types of defects thatneed to be detected and controlled in the mask shopsand fabs. There are now “shades of gray” or partialtransmission-loss defects. These types of defects can bea result of the mask fabrication process or can formafter the reticle has been used in the fab.

The types of defects that can be present on a reticle anddetected in the mask shop or fab are opaque (unwanted

chrome), clear (missing chrome), particles, partiallytransparent films, scratches (on the reticle or pellicle),electrostatic discharge damage (ESD), non-uniformtransmission through the pellicle, micro-fissures in thequartz, polishing grooves on the quartz, transmissionloss from repair and sub-pellicle crystal growth. Manyof these defects are a product of the mask materials ormask processes; however, partially transparent films,ESD, scratches, transmission loss through the pellicle,and sub-pellicle crystal growth are the result of maskusage and handling. For these reasons, it is importantto continuously assure the quality of a reticle in the fab.

As lithography wavelengths become shorter, the poten-tial for pellicle film degradation, crystal growth underthe pellicle and the deleterious effects of partiallytransparent contamination becomes greater. In order toassure a comprehensive defect inspection of a reticle inthe mask shop and wafer fabs several types of defectdetection systems are required. As for CD measurementand mask registration, “Best of Class” systems are essential.

In the mask shop, there is a need to verify the qualityof mask repairs. Transmission loss due to repair aroundten percent was tolerable for high k1 lithography. Nowthat k1 values are consistently below 0.5 at 248 nm,wavelength transmission loss due to mask repair belowfive percent needs to be maintained.

While defect detection and quality assurance for reticleswas the responsibility of the mask shop in the past, thepreviously mentioned new types of defects require themask user to routinely re-qualify reticles in the fab.Figure 2a shows a sub-pellicle crystalline defect thatwas formed as a result of reticle exposure to DUV illu-mination and detected by an inspection tool incorpo-rating simultaneously transmitted and reflected lightcapabilities. This type of defect has been found in manyfabs and appears to be ubiquitous. Figure 2b shows anESD defect that was found during fab re-qualification.Sub-pellicle crystals and ESD are two of the most com-monly found reticle defects in the fab.

Comprehensive integrated reticle/wafer lithography managementAs previously mentioned, stand-alone metrology andcharacterization systems do not provide the best solutionto rapid communication and problem solving in themask and lithography cell. Historically, mask makersand users operated autonomously and, as a result, mostfab yield improvement was due to efforts on the part ofthe wafer fab engineer.

S P E C I A L F O C U S

Figure 1a. Single line CD error

detected by a KLA-Tencor 365UV

HR inspection system.

Figure 1b. CD SEM measurement

of the same CD line error taken

with a KLA-Tencor 8100 XP-R,

reticle CD SEM.

Page 39: Autumn00

Autumn 2000 Yield Management Solutions 39

New software options that provide a critical link inwafer defect analysis by enabling wafer defects to betraced back to their origins on the reticle improve dia-logue between the wafer fabs and mask shops, andenable defect data navigation and image review by allkey lithography sectors. The capability for reticle-to-wafer defect coordinate translation allows for betterunderstanding of the impact of reticle anomalies onwafer yield. As a result the mask shop can react morequickly to reticle-induced yield impacts.

Figure 3 provides an overview of how “Best of Class”tools can be integrated into a lithography cell to pro-vide an optimized approach to quality management.

Summary Every technology node has provided the lithographerwith a series of challenges. Many of these challengeshave related to identifying, understanding and correct-ing yield detractors in the dynamic environment of thewafer fab. As we begin to enter the 130 nm technolo-gy node, the industry is positioned to take advantage of“Best of Class” systems that will provide the capabilityto achieve higher yields at an unprecedented rate.

References1. A. Vacca et al., “Techniques to Detect and Analyze Pho-

tomask CD Uniformity Errors”, 19th Annual Symposium onPhotomask Technology, SPIE vol. 3873, pp. 209-14,1999.

2. B. J. Grenon et al., “Formation and Detection of Sub-Pel-licle Defects by Exposure to DUV System Illumination”,19th Annual Symposium on Photomask Technology, SPIEvol. 3873, pp.162-76, 1999.

3. K. Monahan et al., “Collapse of the Deep-UV and 193 nmLithographic Focus Window”, Proceedings of the 1999IEEE Symposium on Semiconductor Manufacturing, pp.115-18, 1999.

Wafer Fab

Mask ShopZeiss MSM100/193

AIMSLeica LMS IPRO

KLA-Tencor8250-RCD-SEM

KLA-Tencor8250-RCD-SEM

KLA-TencorTeraStar

KLA-TencorX-LINK

KLA-TencorTeraStar

KLA-TencorTeraScan

S P E C I A L F O C U S

Figure 2a. Sub-pellicle crystal detected by KLA-Tencor’s STARlight UV

inspection tool.

Figure 3. Provides an overview of how “Best of Class” characterization

and metrology tools integrate into a lithography cell.

Figure 2b. ESD defect detected by STARlight.

Page 40: Autumn00

ALREADY THERE.

©2000 KLA-Tencor Corporation

WE’RE READY FOR THE FUTURE OF COPPER/LOW-κ INTERCONNECT.

WHATEVER IT MAY HOLD.

Nobody’s certain what the right low-κ dielectric for copper

interconnect at .13µm and beyond is going to be. But one

thing’s for sure: the integration challenges will be formidable.

And they’ll range from optimizing barrier and etch stop

layers to having the mechanical strength to withstand CMP.

That’s why we’re developing the new applications

you’ll need to control low-κ technologies, and

integrating them into our advanced defect,

parametric and analysis systems. All so that

you’ll be able to evaluate yield at virtually every

step. It isn’t easy. But it’s proof once again that we’re the

right choice to help speed your fab’s transition to the new

world. For more information, call 1-800-450-5308, or visit

www.kla-tencor.com/lowk. You’ll see that we’re ready for

the future. No matter what it holds.

Page 41: Autumn00

Autumn 2000 Yield Management Solutions 41

LithographyS P E C I A L F O C U S

Cost will be particularly important in the future of maskproduction. The drop in mask throughput mentionedabove is a primary factor affecting cost. Improvementof accuracy is another challenge along with mask pat-tern miniaturization. For accuracy, it is critical to comeup with a solution to the fluctuation of line width,which constitutes a more serious problem as mask pat-terns get finer. Fluctuations of mask-pattern dimen-sions have a multiplied pattern profile impact on thewafer surface, which is called MEEF (Mask ErrorEnhancement Factor). To be more specific, a change ofpattern dimensions on the photomask is multiplied bya factor of two to three times when the pattern is trans-ferred to the wafer surface in the exposure process. ThePSM (phase-shift mask) is capable of considerablyreducing the MEEF effect, tolerating fluctuation ofmask pattern dimensions to some extent. In this sense,the PSM is effective in suppressing mask costs. In general, accuracy of mask fabrication is primarily determined by mask writer and manufacturing processprocedure. As for the PSM, however, material selectionis a dominant factor for accuracy. For inspection andmeasurement of the photomask, the major challengesare improvement of detection sensitivity in defectinspection and the establishment of PSM inspection

Development of High-QualityAttenuated Phase-Shift Masks

by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd.

Along with the year-by-year acceleration of semiconductor device miniaturization, the frequency of technology roadmaprenewal has increased by a factor of three from once every three years to once a year. It is not possible to cope with fabrica-tion of high-density semiconductor devices simply by reducing the pattern size of the mask because aggressive pattern shrinkon the photomask will lead to deterioration of the resist pattern when transferred to the silicon wafer surface in the expo-sure process. To tackle this problem, OPC (optical proximity correction) features are added to the photomask, which resultsin increased complexity and miniaturization in the photomask-making process. Consequently, the volume of mask-pattern datais growing drastically, and the time required for mask-defect inspection and mask-writing processes keeps increasing.Moreover, the need to achieve outstanding process accuracy raises the costs of mask production and inspection tools. Such adrop in mask throughput and the increase in cost of materials and tools seriously affects the costs of photomasks.

Step-and-scan-exposure systems adoptedArF lithography in 1999, however, ArF lith-ography systems, including resist, are stillunder evaluation and development. From2000 to 2001, the feature sizes of semicon-ductor devices will be further reduced to0.13 µm while it is clear that KrF lithogra-phy will remain dominant.

Challenges of the photomaskPhotomask technology is currently facing anumber of challenges in various fields. Themajor challenges are listed below:

1) material

2) volume of mask-pattern data

3) mask exposure and mask-fabricationprocess

4) inspection and measurement (qualityassurance)

5) cost and delivery

Page 42: Autumn00

Autumn 2000 Yield Management Solutions42

S P E C I A L F O C U S

performance of att-PSM to the level of alt-PSM, thetransmissivity of the shifter material needs to be increased.With the conventional att-PSM, however, transmissivityof an excimer laser source cannot be set at a high levelbecause such a choice raises the transmissivity ofinspection wavelength too high to conduct inspection.

In an attempt to overcome this difficulty, a new shiftermaterial has been developed: zirconium silicon oxide(ZrSiO). Using ZrSiO shifter film, att-PSM is able tosuppress the transmissivity of light from the defectinspection tool below an upper limit enabling inspec-tion for quality assurance.

Figure 1 shows RET (resolution enhancement technology)applicable to each lithography technology.

It is possible to roughly estimate which RET is applicableby referring to the ratio of exposure wavelength to thedimension of resist pattern on wafer surface. For the0.13 µm device to be developed in the near future, it ishighly likely att-PSM with high transmissivity will beadopted. Att-PSM should also be an effective techniquefor ArF lithography, when pattern dimensions arereduced to about two-thirds of wavelength. For ULSIrequiring much higher resolution, alt-PSM will beabsolutely necessary. If resolution cannot be raised highenough, an alternative excimer laser source with shorterwavelength must be adopted. F2 laser lithography featur-ing wavelength of 157 nm, combined with ultrahighresolution technology such as PSM, is expected toachieve as high a resolution as 70 nm.

technology. Along with further device miniaturization,it also is necessary to improve the performance of theCD (critical dimension) measurement tools.

Needs for resolution enhancement technology (RET) If KrF lithography is employed for 0.13 µm deviceproduction, the ratio of exposure wavelength to dimen-sion of resist pattern on wafer surface will be almost 2:1;and, binary masks with OPC will be unable to achievethe required level of resolution or depth of focus. It willbe necessary, therefore, to introduce the PSM.

The alternating PSM (alt-PSM) is capable of achievinghigh resolution of one-half of exposure wavelength, butit has not yet been actively adopted because technologiesfor defect inspection and mask repair need to be improvedprior to its introduction. On the other hand, the attenuated PSM (att-PSM) has already been adopted incommercial production because the conventional maskinspection and repair technologies used for the binarymask process can be applied as they are. The attenuatedPSM, which generally uses shifter film that transmits threeto eight percent of excimer laser source, has mainly beenapplied to the to fabrication of contact holes. Thistechnology is significantly superior to the conventionalbinary mask technology in terms of depth of focus, butit is not as effective in improving resolution.

Alt-PSM, on the other hand, is effective in improvingdepth of focus and resolution. In order to improve the

Node 180nm 130nm 100nm 70nm

KrF Lithography Feature size / Wavelength Ratio 73% 53% 40% 28%

Binary OPC / Serif OPC / Assist Bar — —

Att-PSM* 3–8% 15–25% — —

Alt-PSM** — Shifter Edge Type (Logic Gate) Shifter Edge Type (Logic Gate) —— Hidden Shifter Type (Memory) — —

ArF Lithography Feature size / Wavelength Ratio 93% 67% 52% 36%

Binary — OPC Serif OPC / Assist Bar —

Att-PSM — 3–8% 15–25% —

Alt-PSM — — Shifter Edge Type (Logic Gate) Shifter Edge Type (Logic Gate)— — Hidden Shifter Type (Memory) —

F2 Lithography Feature size / Wavelength Ratio 115% 83% 64% 45%

Binary — — OPC / Assist Bar —

Att-PSM — 3–8% 3–8% 15–25%

Alt-PSM — — — Shifter Edge Type (Logic Gate)— — — Hidden Shifter Type (Memory)

High-transmission and Tri-tone type Att-PSM *Att-PSM = Attenuated Phase Shifting Mask **Alt-PSM = Alternating Phase Shifting Mask

Figure 1. RET reticles by wavelength and by technology node.

Page 43: Autumn00

Autumn 2000 Yield Management Solutions 43

We report performance of att-PSM using ZrSiO shifterfilm that is capable of suppressing transmissivity ofinspection wavelength.

Development of ZrSiO-based attenuated PSM In the photomask field, the con-ventional chromium binarymask is increasingly replaced bythe OPC mask, alt-PSM and att-PSM. In particular att-PSMattracts attention as it is moresuitable than others for volumeproduction. For att-PSM, it isnecessary to expand the range oftransmissivity from 8 to 20 per-cent. Conventional materialssuch as MoSi and CrF, however,cannot secure adequate transmis-sivity for inspection wavelengthdue to their physical properties.Moreover, these materials cannotbe applied to the photomask forArF lithography due to theirexcimer laser resistance and spectral characteristics. Variousmaterials and structures of photo-mask have been investigated todevelop a photo-mask featuringhigh transmissivity that can beapplied to three generations oflithography: KrF, ArF and F2.

ResultsZirconium was first selected as a next-generation PSMmaterial because it features strong ArF laser resistance.Zirconium-type materials were found far more resistant

0 5 10 15 20 25 30 35

-2.0

-1.0

0

1.0

-3.0

3.0

2.0

Phas

e Ch

ange

(de

g.)

Total Energy (kJ/cm2)

TargetTF.AF

0 5 10 15 20 25 30 35

-0.5

0

0.5

-1.0

1.0

Tran

smit

tanc

e Ch

ange

(%

)

Total Energy (kJ/cm2)

Target

TF.AF

S P E C I A L F O C U S

Figure 2. Phase shift change (a) and transmissivity change (b) of ZrSiO-based att-PSM as a function of ArF excimer laser irradiation.

]Bi-Layer ZrSiOCrEB resist

Attenuated FilmTransparent Film

Cr

Qz

Bi-Layer ZrSiO with Cr and resist

The Structure of ZrSiO Att-PSM

EB exposure and development

Cr etching

Bi-Layer ZrSiO dry etching

Resist remove

Resist coating

EB exposure and development

Cr etching

Resist remove

Tri-Tone Type

Figure 3: Structure and fabrication process of ZrSiO-based att-PSM.

Page 44: Autumn00

Autumn 2000 Yield Management Solutions44

to ArF laser than materials used for the conventionalatt-PSM materials. Figure 2 shows phase shift andtransmissivity change as a function of ArF excimer laserirradiation. Laser irradiation conditions were set basedon the assumption that mask lifetime was three years.

Specifically, total irradiation was set at 30 kJ/cm2 (or0.2mJ/cm2/pulse). Under these conditions, ZrSiO-basedatt-PSM was found effective in suppressing the changeof phase shift below 0.5° and transmissivity below 0.2percent.

Figure 3 shows structure and manufacturing process of ZrSiO-based att-PSM. On quartz glass, shifter filmis formed by stacking attenuated film (AF) with lowoxygen concentration for transmissivity modulationand oxygen-rich transparent film (TF) for phase modulation.

Chromium (Cr) opaque film is stacked on top of theshifter film. The shifter film is composed of two layersin order to lower transmissivity not only of excimerlaser from the exposure tool with wavelength of 193 nm,but also of light from measurement and inspectiontools featuring wavelengths of 365 nm, 488 nm, and550 nm. For tri-tone-type att-PSM in which Cr patternsare used to shield light for part of half-tone patterns,an overlay process is conducted to fabricate patterns onthe Cr opaque film. This process, however, is the sameas the conventional MoSi-based att-PSM manufacturingprocess.

Figure 4 shows spectral characteristics of ZrSiO-basedatt-PSM blank which features ArF transmissivity of sixpercent. Transmissivity of light with wavelength of365 nm is suppressed below 13 percent, which means

the ZrSiO-based att-PSM makes it possible to conductinspection without employing any special algorithms.

Detection sensitivity is currently being investigated byusing test masks with programmed multiphase defects.The ZrSiO-based att-PSM, which has been tested with aposition-accuracy measurement tool and the CD SEM,has proved to be the preferred measurement technique.Conditions for the mask-making process, such as thosefor dry etching, have been established.

Figure 5 shows etching selectivity as a function of workingpressure in dry etching using BCl3 gas. Selectivitybetween the anti-transmission film (AF) and the under-lying quartz substrate can be increased to more thanten by increasing the reaction pressure. It is possible,therefore, to improve the uniformity of phase shift andof transmissivity within the six-inch mask to the levelof the mask blank.

0.5 µm

ZrSiO

Quartz200 300 400 500 600

10

20

30

40

0

50

Tran

smit

tanc

e (%

)

Wavelength (nm)

8 10 12 14 16 18 20

2

4

6

8

10

12

0

Sele

ctiv

ity

Pressure (Pa)

Transmissive ^, p, y

Absorptive ^, p, y

Transmissive ^Resist

Absorptive ^Resist

S P E C I A L F O C U S

Figure 6. Pattern profile of ZrSiO-based att-PSM.Figure 4. Spectral characteristics of ZrSiO-based att-PSM blank featuring

ArF transmissivity of six percent.

Figure 5. Etching selectivity among films of ZrSiO-based att-PSM in

dry etching.

Page 45: Autumn00

Autumn 2000 Yield Management Solutions 45

S P E C I A L F O C U S

As shown in the SEM image(s) (Figure 6), the patternprofile is extremely good; it does not have any boundarylayers formed due to gap of oxygen concentration inhalf-tone film. This leads to considerable improvementin lithography performance.

Future workFigure 7 shows ArF lithography mask targets in 2001 bycategory. Other targets are also being developed relatingto technologies required for volume production such asfilm defect, inspection and repair.

Figure 8 shows spectral characteristics of ZrSiO-basedatt-PSM blank whose transmissivity of KrF (248 nm)is as high as 30 percent. Based on the spectral charac-teristics, we speculate that ZrSiO-based att-PSM featuring transmissivity of about 20 percent can beused for commercial applications.

For ZrSiO-based att-PSM for ArF lithography, theauthors have succeeded in achieving transmissivity of15 percent by carefully selecting conditions for film

deposition. One of the advantages of the ZrSiO-basedatt-PSM is that a high degree of freedom is obtained inoptical design when film composition and the combi-nation of two films are carefully studied. Currentresearch also indicates the potential of applying ZrSiOtechnology to masks for F2 lithography (157 nm). Datais being collected relating to film composition in a bidto develop ZrSiO-based att-PSM for F2 lithography.

SummaryA new photomask material technology has been estab-lished to be applied to three excimer laser sources: KrF,ArF and F2. For photomask of ArF lithography, sampleevaluations of several dozen photomasks have been conducted since 1999. Efforts are currently being madeto further improve quality and prepare for the start ofvolume production in 2001.

AcknowledgmentWe would like to extend heartfelt gratitude to Selete(Semiconductor Leading Edge Technologies) for itssupport of our study. We also thank Mr. Matsuo andhis staff in Electronics Research Laboratory, ToppanPrinting Co., Ltd., for their help and useful discussion.

Category Item Target for 2001

Spec. of Blank Transmittance @ 193nm 2–20%Reflectance @ 193nm < 25%Phase shift accuracy 180 +/- 2 deg.Phase shift within a mask 2 degreesTransmittance accuracy Target +/- 0.3%Transmittance within a mask 0.3

Spec. of Process Durability for chemicals [phase shift change] +/- 1 deg.[transmittance change] +/- 0.1 deg.

Dry etching selectivity to resist > 1to substrate > 10

Pattern profile 80 degrees

Spec. of Mask Minimum feature size 400nmCD uniformity +/- 12nmCD mean to target +/- 12nmImage placement error 30nmPhase shift accuracy 180 +/- 3 deg.Phase shift within a mask 3 deg.Transmittance accuracy Target +/- 0.3%Transmittance within a mask 0.3

Spec. of Litho Irradiation durability > 3 years

Figure 7. ArF lithography photomask targets in 2001.

200 300 400 500 600 700

20

40

60

80

0

100

Tran

smit

tanc

e (%

)

Wavelength (nm)

λ (nm) 248 257 365 248

30.79 27.19 39.15 179.7320.54 17.69 28.89 179.799.06 8.33 18.40 178.46

Transmittance (%) PS (deg.)

Figure 8. Spectral characteristics of ZrSiO-based att-PSM featuring

high transmissivity to be applied to KrF lithography.

Page 46: Autumn00

circle RS#014

The user friendly interface includes real-time display and drag and drop into other Windows® programs.

A n a l o g

D i g i t a l

Klarity ProDATA's robust curve fitting algorithmsutilize embedded lithography knowledge forextremely accurate analysis.

Klarity ProDATA can analyze any number ofoverlapping process windows to determine bestfocus, best exposure and depth of focus usingeither the rectangle or ellipse method.

In addition to focus exposure data, KlarityProDATA also analyzes experimental swingcurve and contrast curve data.

The human eye

Klarity ProDATA™

Now there are two ways to analyze experimental

lithography data.

Imagine being able to generate accurate optimized process information witha few clicks of the mouse. Never again will you be forced to "eyeball" theanswers with a spreadsheet program. Klarity ProDATA utilizes the mostadvanced, yet proven, analysis algorithms to:

■ Calculate the process windowof experimental focus-exposuredata and determine the resulting depth-of-focus

■ Determine the overlap of any number of process windows and the resulting depth-of-focus curves

■ SEM Image Analysis Module (SIAM™) enables quicker recognition of two-dimen-sional shape effects

■ Analyze CD, Eo or reflectivityswing curve data to determinethe minima, maxima, swing ratio and period

■ Analyze H-D contrast curve data to determine dose to clear and photoresist contrast

■ Imports and analyzes pQC(Pattern Quality Confirmation)data from the KLA-Tencor CD SEM for improved patternintegrity verification

Klarity ProDATA is very easy to use and includes advanced functionslike drag and drop of analysis results to other Windows® programs and fullysearchable online help. See for yourself what a difference Klarity ProDATAcan make.

160 Rio Robles ■ San Jose, CA 95134 ■ Tel 408-875-4200 ■ www.kla-tencor.com

TM

Klarity ProDATA

Page 47: Autumn00

Autumn 2000 Yield Management Solutions 47

Photomask Blanks Quality and Functionality Improvement Challenges

for the 130 nm Node and Below

by Masao Ushida, Hideo Kobayashi, Kunihiko Ueno, HOYA Corporation

Sub-wavelength lithography will use various types of resolution enhancement techniques (RET) on reticles, such as embeddedattenuated phase-shift mask (EAPSM) and OPC to extend refractive reduction optics to the 130 nm node and below. Thereare significant difficulties that confront mask makers as well as photomask blanks manufacturers. This article explores thedevelopment status of photomask blanks and reviews issues to be solved.

Quartz substrateIt is required that the photomask substrateshould have a suitable transmittance at eachexposure wavelength used. For the 157 nm,as is generally known, new synthetic quartzseems to be the best candidate and must beused for a suitable transmittance and suffi-cient exposure durability. In addition, it has been suggested another new syntheticquartz might be necessary, even at 193 nm.The blanks suppliers’ mission is to preparesuperior quality substrate for film depositionand resist coating and eventually for reticlemanufacturing, although characteristics ofthe substrate, with the exception of flatness,are dependent on quartz manufacturers.

Several types of glass defects on substrate,such as scratches, pits, sleeks and micro-cracks,are typically residue not removed completelyby polishing, damages by particle contami-nation during polishing process or damagesduring cleaning, particularly ultrasonic.Figure 1 shows a typical glass defect, a so-called “micro-crack,” that is 0.4 µm long,0.06 µm wide and 0.01 µm deep. Themicro-crack also can be caused by mega-soniccleaning that is now almost standard in themask-cleaning process. In some cases, sucha small and shallow defect cannot be foundeven by a reticle inspection system becauseof poor contrast, especially due to its depth.

Careful visual inspection is still used to inspect blankssubstrate for glass defects, because there is no automatedscanning inspection system with a suitable sensitiveinspection “speed” for blanks manufacturing. There is agiant gap in inspection speed permitted between reticleand blanks manufacturing: a couple of “hours” for reticleversus a couple of “minutes” for blanks. With respectto flatness, 0.5 µm flat material with a 0.25 µm flatrequirement might be available in the near future.Figure 2 shows a 6025 substrate with a 0.18 µm contourmap in a 146 mm square area.

LithographyS P E C I A L F O C U S

Figure 1. A typical glass defect.

Page 48: Autumn00

Autumn 2000 Yield Management Solutions48

EAPSM filmEAPSM is one of the RETs used as optical lithographypushes smaller dimensions and shorter wavelengths.Figure 3 shows typical spectral transmittance andreflectivity of “six percent trans” of a new MoSi-basedEAPSM film for the 193 nm. Engineering sample supply for preliminary evaluation was started late in1999; and the film was qualified by several users foroptical characteristics, uniformity and durability.

A feature of the HOYA 193 nm EASPM film is thatpercentage trans becomes very high at longer wave-

lengths, which possibly induces sensitivity degradationfor reticle inspection. Figure 4 shows the sensitivityachieved by KLA-Tencor 365UV with ESP for each defecttype. Reticle defect inspection in this instance wasdone on the film at the same sensitivity or detectabilitylevel as the binary film by modifying the algorithm.Inspection algorithms and light optics will improve,along with EAPSM defect inspection capability. Inaddition, repair results on the EASPM film are shownin Figure 5. Since the film is MoSi-based, existingrepair techniques for deep UV EAPSM film are alsoavailable. HOYA MoSi-based 193 nm EAPSM film canprovide required optical characteristics and processcompatibility for etching, defect inspection and repairto the users of HOYA deep UV EAPSM film.

Chemically amplified resistThe rise of 50keV e-Beam exposure tools has created agrowing need for a chemically amplified resist (CAR)

InspectionKLA-Tencor KT-365UV EPS algorithm (alpha version, prelimary results)

AOP(Cr binary mask) = EPS(ArF Ht PSM)

AOP algorithm (Cr binary mask)

EPS algorithm (ArF Ht PSM)

90 80

8010 10 20

70

405

705

80

90 70

30

10 7060 3020 60 10

90

25

extension

dot SEM image(detected defects)

Figure 2. A 6025 substrate showing a 0.18 µm contour map in a

146 nm square area.

RepairGAE repair:SII SIR-3000 w/out post process

ArF printability:Carl Zeiss MSM-193/AIMS

SEM image ArF AIMS image ArF AIMS image

corner extension defect in contact holeX/Y (mirons at wafer)

Inte

nsit

y

0.250

0.0 0.5 1.0 1.5 2.0 2.5 3.0 3.5

0.250

0.250

0.250

0.250

X/Y (mirons at wafer)

Inte

nsit

y

0.250

0.0 0.5 1.0 1.5 2.0 2.5 3.0 3.5

0.250

0.250

0.250

0.250

Figure 5. Repair results on the EAPSM film.

S P E C I A L F O C U S

Figure 4. Reticle defect inspection.

800 900

Phase Angle(degree)

181.5

Thickness(nm)

181.5

200 300 400 500 600 700

20

40

60

80

0

100

R &

T (%

)

Wavelength (nm)

Optical Properties

Transmittance (Air based)Reflectance (Film side)Reflectance (Quartz side)

Wavelength(nm) Transmittance(%) Reflectance(%); air ref. Film side Glass side

633 63.26 30.95 27.18

436 56.83 24.72 21.12

365 53.21 15.19 11.75

248 26.10 18.07 9.15

193 6.01 21.87 12.94

Figure 3. Typical spherical transmittance and reflectivity of MoSi-based

EAPSM film.

Page 49: Autumn00

Autumn 2000 Yield Management Solutions 49

In order to study the feasibility for CARs-coated blankssupply, storage tests were done in a common storagecondition to determine CARs film life after coating.Figure 6 shows CD movement of five CARs selectedfor four weeks’ storage. Sample blanks were put intoHOYA regular shipping boxes (acrylic resin base). Theboxes had been sitting in a clean room without sealsfor two to four weeks where NH3 concentration was0.33 µg/m3 (at 40 percent RH, 22. RT). CD movementobserved after two weeks’ storage was less than 5 nmon all CARs. However, four weeks’ storage induced asignificant CD movement of roughly 50 to 75 nm.

For precise PCD stability (CAR blanks life), it isimportant to understand the main CD drivers in allmask-making processes. There are three major inter-vals (delays) in the mask-making process; i.e., PCD,PED, and post-PEB (post-exposure baking). Figure 7shows CD movement on Hitachi Chemical RE-5153P inPCD, PED and post-PEB delay. PED for only a coupleof hours induced a significant CD movement of over100 nm, while two weeks’ storage (PCD) induced verysmall CD movements (less than 5 nm). Post-PEB delayhad almost no impact on CD stability. The impacts ofthese intervals (delays) upon CD movements were similaron all positive-tone CARs examined. Storage in a dry-N2

purged box, on the other hand,improved CD stability in all processdelays, as shown in the figure. InPCD, the CD movement was significantly reduced to less than10 nm, even for four weeks’ stor-age, by utilizing the controlledenvironment. In PED, the CDmovement was also reduced but,unfortunately, not as significantly.

The following are findings in theCAR blanks feasibility study: CARspossess sufficient PCD stability foradvanced reticle fabrication if theyare kept in a dry-N2 purged envi-ronment. Dry-N2 purged environ-ment or equivalent (chemicallyclean environment) is necessary forblanks storage. On the other hand,CD movement due to PED can’tbe neglected on CARs practicaluse. Especially for mean-to-targetyield improvement, it is recom-mended PEB be done immediatelyafter exposure, as it is a chemically

S P E C I A L F O C U S

-125

-100

-75

-50

-150

-25

0

25

Coat & PSB Exposure PEB Develop.

50

CD s

hift

fro

m t

he 1

.0um

tar

get

(nm

)

0 10 20 30Delay time (days)

-125

-100

-75

-50

-150

-25

0

25

50

0 10 20 30Delay time (hours)

-125

-100

-75

-50

-150

-25

0

25

50

0 10 20 30Delay time (hours)

Dry-N2 box

Regular box)

Delay time (days) Delay time (days) Delay time (days)

0 -20 -40 -60 -80 -100

UV II HS

EP-009

TLE-011

RE-5153P

Resist A

Resi

st

PCD (nm)

Optical Properties

2 weeks4 weeks

Figure 6. CD movement of CARs.

To find the main CD driver in the CARs mask making process, the dependence on eachinterval in process (PCD, PED and Delay after PEB) has been described by CD shift fromthe 1.0 µm target CD. Regular and dry-N2 purged box conditions where NH3 ~ 0.33 µg/m3 in40 ~ 45RH percent and NH3<0.001 µg/m3 in less 10RH percent, respectively.

Figure 7. CD movement due to interval in process.

system with high sensitivity, high contrast, superiorresolution, superior post-coating delay (PCD) and post-exposure delay (PED) stability. It still is generallythought that CARs must be spun on just prior toexposure due to their very short life after coating, whilemask makers have been procuring resist-coated blanks.The industry plans to stay the course, even with CARs,for the next generation; thus is also the mission ofblanks suppliers.

Page 50: Autumn00

for blanks, as mentioned above. However, the advent ofnew inspection techniques other than the ordinary scat-tering, transmitting or reflecting light detection, lookvery promising for blanks manufacturers trying to catchup with requirements for the next few years. There is amovement for the mask manufacturers to furnishinspection tools for sorting blanks to improve reticle-manufacturing yield for defects. The ultimate goal is tosupply blanks defect data on each single plate for high-end reticle manufacturing with a high yield. Byimproving quality and functionality, photomask blanksfor each lithography and mask-making generation canbe supplied to the industry.

clean environment for plate handling, storage or betweenexposure and PEB.

A solution was discovered for CARs coated blanks lifeand supply as mentioned above, which had been con-sidered the most serious issue for CAR blanks practicaluse.

SummaryThe defect guarantee level of blanks, especially for harddefects such as glass and chrome pinholes, has beenbehind the requirement until now. This is due to a giantgap in Permitted inspection “speed”; i.e., inspection cost

S P E C I A L F O C U S

KLA-Tencor Trade Show Calendar

September 19-21 Diskcon, San Jose, California

September 25-27 AEC/APC, Lake Tahoe, California

October 3-5 ITC, Atlantic City, New Jersey

November 28-30 Fall MRS, Boston, Massachusetts

December 6-8 SEMICON/Japan, Makuhari, Japan

February 27-28, 2001 SPIE-Microlithography, Santa Clara, California

Page 51: Autumn00

ALREADY THERE.

©2000 KLA-Tencor Corporation

At 0.13µm, the litho process window closes in from all directions.

Increasing reticle complexity creates new sources of defectivity

and CD variation. High numerical apertures result in more

frequent focus errors. And mix-and-match strategies threaten to

devour an already diminishing overlay budget.

All of which creates an even greater need for

controlling your lithography module. That’s

why we provide the industry’s most complete

sub-wavelength litho process module control

solutions – encompassing CD control, overlay control,

defect control and simulation. On everything from the reticle

and design through the stepper and the process. Helping

you to avoid costly excursions and dead ends. And giving you

a little extra maneuvering room that could make a sizeable

difference to your bottom line. For more information, please call

1-800-450-5308, or visit us at www.kla-tencor.com/lithoPMC.

WELCOME TO THE WORLD OF

DEEP SUB-WAVELENGTH LITHOGRAPHY.

Page 52: Autumn00

Autumn 2000 Yield Management Solutions52

IntroductionCD metrology using top-down scanningelectron microscopes (SEM) is a routinetechnique for monitoring IC wafer processes.1

When process CDs vary beyond establishedcontrol limits, corrective action can betaken. Test structures which fit in thescribe lines between chips are routinelyintroduced to allow the metrology on peri-odic samples of production wafers or on allproduction wafers if so desired.

However, with most contemporary processes(i.e., those targeted with minimum featuresat 180 nm or smaller) the combination ofprocess factors is typically tuned to reduceany variation in CD, at least until theprocess fails catastrophically. Illuminationconditions, reticle techniques, resist tech-nologies, post-exposure baking processes,etch recipes, etc., are all chosen to work intandem to reduce the variation of targetCDs as much as possible.2

Many recent papers have discussed themask error enhancement factor, or MEEF.3, 4, 5, 6, 7, 8, 9, 10, 11, 12 This represents an

“The MEEF Meter”: a Realistic Approachfor Lithography Process Monitoringby Frank Schellenberg, Pat LeCour and Olivier Toublan, Mentor GraphicsGeoffrey Anderson and Raymond Yip, KLA-Tencor Corporation

With the advent of sub-wavelength lithography, process control has taken on a whole new meaning. This article discusses apractical process monitor target for the low k1 lithography regime, labeled the MEEF meter. Its purpose is to allow accuratedetermination of the MEEF effect and a consistent monitor for any changes in the lithography process that can impact thiseffect. The investigation and characterization were followed from the design phase, through reticle fabrication and finallyonto the wafer.

“amplification” of reticle errors by wafer process phe-nomena, producing changes larger than expected onthe wafer. Perfect linearity in a process would give aMEEF of 1; but when process conditions significantlydeviate from linearity (usually when attempts are madeto create sub-wavelength lithography features), largervalues are observed. In highly nonlinear conditions,MEEF values as large as 8 have been reported.8 Typicalsub-wavelength MEEF values for binary lithographyare in the range of 1.5-2.5.8, 11 On the other hand,MEEF values for special phase-shifting cases, or for certain dimensions of dense lines or with assist bars,have been predicted to be significantly less than 1.7, 12

Understanding MEEF for a process is, therefore, veryimportant to any resolution enhancement technologies,such as OPC and PSM, that compensate for predictedwafer effects.

What we propose here is that the MEEF, being essen-tially a derivative measurement of linearity conditions,may actually serve as a sensitive monitor for processvariations. MEEF has been predicted to be a strongfunction of defocus,7 so this is something to examineunder experimental conditions. To this end, we havecreated a MEEF meter and observed its performanceunder conditions of focus and exposure.

LithographyS P E C I A L F O C U S

Page 53: Autumn00

Autumn 2000 Yield Management Solutions 53

S P E C I A L F O C U S

MEEF and lithographyMEEF is defined as the ratio of the CD measured onthe wafer to the (adjusted) CD measured on the mask.In a perfect world, the CD on the wafer directly mapsto the CD reticle, and the MEEF is unity.

Mathematically, MEEF can be expressed:

where M is the stepper reduction ratio (typically M=4in DUV steppers.) To adequately measure the MEEF, achange in the CD on a reticle must be programmed inorder to observe its effect on the printed wafer. Sinceprior research has shown the MEEF values for isolatedand dense lines (with their difference called the “MEEFGap”), any test needs to take this effect into account.

Enter the MEEF meterThe test pattern scheme is illustrated in Figure 1. Onthe left, a programmed change in isolated CD around a target dimension is shown. On the right, the sameprogrammed change in CD is reproduced, but now inthe context of dense lines with a 1:1 duty cycle centeredaround the target dimension. The change in CD isapproximately 20 nm (wafer dimensions). By measuringeach of these six CD features on the reticle and thenmeasuring the corresponding features on the wafer, bothisolated and dense MEEF can be calculated.

Experimental techniqueTo measure the CDs and MEEF, a tool such as KLA-Tencor’s reticle CD SEM, the 8100 XP-R, is ideal. Thistool allows initial measurement of the reticle CDs in theMEEF meter; it can then be immediately switched towafer mode to allow measurement of the exact wafersites corresponding to the wafer measurement sitesunder the same conditions and calibrations.13

First, a GDSII layout of the MEEF meter was prepared.A jobdeck for a reticle was created placing the MEEFmeter throughout the field. A reticle was then fabricatedon an ALTA 3500 mask writer using a wet-etch fabri-cation process at the Reticle Technology Center (RTC).Several MEEF meters were included on the reticle layout,including designs for 250 nm, 180 nm, 150 nm, 130 nmand 100 nm. Only the 180 nm results at center of fieldare reported here.

Wafers were then exposed on an ASML PAS 5500/300DUV 4x reduction stepper using this reticle. Focus/exposure matrices were produced using NA=0.63 andconventional illumination with σ= 0.5. Ultraflat siliconwafers were used to minimize focus distortion effects.The resist process used was a Shipley UV110 resist withthickness 450 nm and a Shipley ARII anti-reflectivecoating of thickness 66 nm. All exposures were carriedout at ASM Lithography’s Tempe lab facility.

SEM measurements using the KLA-Tencor 8100 XP-Rwere made on the wafer resist structures after development.

∂CDwafer

∂(CDreticle/M)MEEF =

Figure 1: Schematic of the layout for the MEEF meter. A line with the

nominal target CD (in this case, 180 nm) is made larger and smaller

in an isolated context (left) and a dense context (right). All other fea-

tures in the dense context are at the target CD. The CD that varies is

shaded for ease of visibility in the figure; the features are all conven-

tional chrome lines on the reticle.

a) Isolated MEEF

TargetCD

TargetCD180

+20

-20

b) Dense MEEF

Figure 2: MEEF meter features as fabricated on the reticle and the

resulting image in resist on the wafer. MEEF structures are shown for

both isolated lines (left) and dense lines (right).

Page 54: Autumn00

Autumn 2000 Yield Management Solutions54

After initial measurement of the reticle CDs for a par-ticular test site, an automated metrology job was set up and run to gather data across the focus/exposurematrix for those identical sites. Final data analysis wascarried out using a combination of Klarity ProDATAfrom KLA-Tencor and Microsoft Excel for final calculationof MEEF values.

Nominal CD behaviorFirst, the behavior of the target CD with focus andexposure was investigated. This represents how processmonitoring may typically be measured today. The resultsfor this process, fairly typical of a well-controlled process,are shown in Figure 3. Variation of exposure dose causesthe final resist line to grow thicker or thinner in denseand isolated cases, while the process has been tuned tobe fairly insensitive to defocus—until the imaging failsentirely.

This indicates that direct CD measurements can, there-fore, be a good indicator of exposure drift; however, CDsare a poor indicator of process drift for defocus variation(see Figure 3).

MEEF behaviorVery different results were found with examination ofthe MEEF. To calculate the MEEF, we must first measurethe CD linearity from the MEEF meter. The wafer CDsare plotted against the reticle CDs, and the slope of the

curve near 180 nm provides the local MEEF value.This is plotted in Figure 4.

As the varying line of the MEEF meter approaches atarget CD of 100 nm, it vanishes on the wafer for boththe dense and isolated cases. Features that are this smallsimply cannot be reliably produced without the aid ofphase-shifting or another resolution enhancement

0

50

100

150

200

250

300

Waf

er C

D (n

m)

0

50

100

150

200

250

300

Waf

er C

D (n

m)

Defocus (µm) Exposure (m J)-0.6 15-0.2 20 25 300 0.2 0.4

Isolated CD (Target 180 nm)

Dense CD (Target 172 nm)

Isolated CD (Target 180 nm)

Dense CD (Target 172 nm)

Figure 3: Wafer CD results as a function of defocus (left) at constant exposure and exposure (right) at constant defocus for isolated and dense lines.

The “target CD” is the measured reticle CD/4. The process is clearly tuned to produce minimal variation with focus, but CD does vary with exposure,

especially for isolated lines.

S P E C I A L F O C U S

50

100

150

200

250

300

Waf

er C

D (n

m)

0

Measured Reticle CD/4(nm)50 150 200 250 300

Bridging

Feature vanishes

0 100

Isolated CD (Target 180 nm)

Dense CD (Target 172 nm)

Figure 4: Linearity plot for the varying features of the MEEF meter plot-

ted against the measured reticle CD/4. The isolated line presents a

classic linearity curve, while the varying center line in a dense context

has a higher slope and is susceptible to scumming and bridging as it

grows.

Page 55: Autumn00

Autumn 2000 Yield Management Solutions 55

technology. As the varying feature becomes larger,however, the isolated line simply grows in proportion,while scumming and then bridging begin to occur onthe wafer for the dense case.

As can be seen in this figure, there is clearly a differencein slope for the dense and isolated MEEF meter featuresnear the target value of 180 nm, indicating a “MEEFGap.” It should also be noted that, due to curvature inthe linearity plot, the “decreasing” MEEF (i.e., slopewhen the reticle CD is getting smaller) and the“increasing” MEEF (i.e., slope when the reticle CD isgetting larger) do not always match. Typically, weactually observe that the increasing MEEF is slightlylarger than the decreasing MEEF, and the “total”MEEF around 180 nm is an average of the two values.

Once the MEEF values are calculated, we can plot themas a function of focus and exposure. These are shown inFigure 5. Although the MEEF for the isolated featuredoes not vary much with defocus, the MEEF for denselines appears to vary parabolically with defocus. Theincreasing and decreasing MEEF values also follow thetrend, with increasing MEEF always slightly larger anddecreasing MEEF always slightly smaller than the totalMEEF. These MEEF values represent concave curvatureof the linearity plot around 180 nm.

This defocus dependence, especially for the dense MEEF,provides a strong indication of a focus drift even thoughthe target CD itself (see Figure 3) is nearly constant.By comparison, however, the exposure dependence ofthe MEEF, also shown in Figure 5, appears essentiallyconstant with exposure and is also fairly noisy. Thisactually reflects the linear dependence of the exposuredata in Figure 3. All lines in the MEEF meter grow andshrink together as the exposure changes; so the MEEF,representing their relative changes, remains roughlyconstant. Given that the exposure changes can inducescumming and bridging at extreme values, the additionalnoise at the exposure extremes is also expected.

In summary, the MEEF seems to be a good indicator offocus changes, while it is fairly insensitive to exposurechanges, indicating that all the lines are growing andshrinking together. For defocus variations, MEEF is agood indicator of process drift, while for exposure vari-ations, MEEF is a poor indicator of process drift.

A numerical comparison between the two monitortechniques for exposure and defocus is presented inTables I and II. Clearly, the magnitude of the change,

visible by comparing Figures 3 and 5, is confirmed bythe numerical comparisons. For exposure changes, CDvariations are three to ten times larger than the corre-sponding MEEF changes. For defocus changes, on theother hand, MEEF variations are three to ten timeslarger than the corresponding CD changes.

The two metrics, therefore, appear to present an inde-pendent technique to monitor defocus and exposurechanges, as processes drift. A suitable system incorpo-rating these metrics would then be able to dictate corrective action before the process failed.

A “Process MEEF” variationThese results offer an interesting methodology for use asa process monitor. However, a variation on the MEEFmeter that is easier to simulate using commercial sim-ulators has been the structure more typically examinedwhen MEEF for dense lines is discussed.9, 10 This is thecase where it is not just a single line changing, such as would be found in a mask-writing error, but whenall the lines change together, as might be found in amask-processing error. We have called this the “ProcessMEEF” Meter, to distinguish it from the single featureMEEF Meter above. “Process” MEEF meters were alsoincluded in the test patterns used in these experiments.The schematic for the layout, as well as SEM images ofthe reticle and wafer, are shown in Figure 6.

0

0.5

1.0

1.5

2

2.5

3.0

MEE

FDefocus (µm)

-0.6 -0.2 0 0.2 0.4

Isolated CD (Target 180 nm)

Dense CD (Target 172 nm)

Figure 5: MEEF for isolated and dense lines as a function of defocus

and exposure. Although the MEEF appears to vary parabolically with

defocus, the MEEF appears constant for exposure; the exposure sensitivity

is fairly noisy due to the increased susceptibility to bridging.

S P E C I A L F O C U S

Page 56: Autumn00

Autumn 2000 Yield Management Solutions56

A linearity plot for both dense and “process” MEEFfeatures targeted around 180 nm is shown in Figure 7.Fundamentally, the “process” MEEF meter data overlaysthe dense MEEF meter data, so the MEEF values ingeneral will be very close to those generated for the denseMEEF values presented above. However, for increasingMEEF, an increased tendency toward scumming andbridging causes far more noise in the measurements.This then becomes a less reliable measure of MEEF and,therefore, process changes, than the dense MEEF meter.

ConclusionsThis article has shown the potential use of direct CDmeasurements in combination with calculations of MEEFas a potential process monitor. Results suggest the use ofdirect CD measurement can be a sensitive indicator ofexposure changes, while the MEEF measurement candetect defocus changes and the two measurement tech-niques appear to be independent.

Although these are believed to be representative datasets, further measurement of a process systematicallyover time using the MEEF meter is the only way todetermine whether the MEEF meter performs reliablyover time as a process monitor. Additional variants onthe MEEF meter using assist bars or phase-shiftingdesigns would also be useful to confirm their predictedmitigating effects.7, 12 Future studies would require amore detailed examination of potential variations andnoise sensitivity. Other possible sensitivities to be inves-tigated would be the sensitivity to NA and coherencevariations, as well as possible sensitivity to aberrations(although this may be best aided by a phase-shiftedMEEF meter).

AcknowledgementsThis work could not have been completed without thehelp of many friends and colleagues. We are especiallygrateful to Susan MacDonald and Craig West of DuPontPhotomask for their help in creating the reticle layout,Greg Hughes of the RTC for fabricating the reticle,Luigi Capodieci and Bob Socha of ASML Masktools forcoordinating the wafer exposures, Mohan Ananth andWaiman Ng of KLA Tencor’s metrology division fortheir help in making the reticle and wafer CD mea-surements, and Moshe Preil of KLA Tencor for helpfuldiscussions.

References1. “Handbook of critical dimension metrology and process

control,” K. M. Monahan, ed. Proc SPIE CR52, SPIEPress, Bellingham, WA, 1994.

2. M. D. Levenson, “Wavefront engineering for photolith-ography,” Physics Today, (July, 1993), p. 28 ff.

3. W. Maurer and D. Samuels, “Masks for 0.25-micron lith-ography,” Photomask and X-Ray Mask Technology,HideoYoshihara; Ed. Proc. SPIE vol. 2254, (1994),pp. 26-35.

4. W. Maurer, “Mask specifications for 193 nm lithography,”16th Annual BACUS Symposium on Photomask Technologyand Management, G. V. Shelden and J. A. Reynolds,Eds. Proc SPIE vol. 2884, (1996), pp. 562-571.

S P E C I A L F O C U S

Figure 6: “Process” MEEF meter features in schematic (left, not to

scale), as formed on the reticle (center) and as they print on the wafer

(right). The target dimension on this case was 180 nm. Although MEEF

can still be calculated in some cases, increasing the mask feature

sizes here tends to cause scumming or bridging on the wafer, espe-

cially at lower exposure doses.

Figure 7: Linearity comparison of the Dense MEEF meter and the

“Process” MEEF meter. General behavior is identical, except for a

propensity for scumming and bridging in the “increasing” MEEF direction.

50

100

150

200

250

300

Waf

er C

D (n

m)

0

Measured Reticle CD/4 (nm)50 150 200 250 300

Bridging

0 100

Dense MEEF Meter

Process MEEF Meter

Page 57: Autumn00

5. A. Wong, R. Ferguson, L. Liebman, S. Mansfield, A. Mol-less, and M. Neisser, “Lithographic effects of mask crit-ical dimension error,” in Optical Microlithography XI, LucVan den Hove; Ed, Proc. SPIE vol. 3334, (1998), pp.106-116.

6. J. Randall, A. Tritchkov, R. Jonckheere, P. Jaenen, and K.Ronse, “Reduction of mask induced CD errors by opticalproximity correction,” in Optical Microlithography XI, LucVan den hove, ed. Proc. SPIE vol. 3334, (1998), pp.124-130.

7. J. Randall and A. Tritchkov, “Optically induced maskcritical dimension error magnification in 248 nm litho-graphy,” J. Vac. Sci. Technol. B16 , (1998) pp. 3606-3611.

8. F.M. Schellenberg, V. Boksha, N. Cobb, J. C. Lai, C. H.Chen, and C. A. Mack, “Impact of mask errors on fullchip error budgets,” Optical Microlithography XII, Luc Vanden Hove; Ed, Proc. SPIE vol. 3679, (1999) pp. 261-275.

9. F. M. Schellenberg and C. Mack, “MEEF in theory andpractice”, 19th Annual BACUS Symposium on Pho-tomask Technology, F. Abboud and B. Grenon, ed. ProcSPIE vol. 3873, (1999) pp 189-202.

10. C. Mack, “Mask linearity and the mask error enhance-ment factor,” Microlithography World, Winter 1999 p.11-12.

11. A. Vacca, B. Eynon, and S. Yeomans, “Killer defectscaused by localized sub-100-nm critical dimension reticleerrors,” in Optical Microlithography XI, Luc Van den hove,ed. Proc SPIE vol. 3334, (1998), p. 642-648.

12. M. D. Levenson “Can phase shift save the semiconduc-tor industry?,” Proceedings of the 1998 Interface Con-ference, (Olin Chemical, 1998), pp 165-177.

13. W. Ng, G. Anderson, H. Villa, and F. Kalk, “A study ofCD SEM suitability for CD metrology of modern pho-tomasks,” Photomask and X-Ray Mask Technology VI, Hi-roaki Morimoto; Ed. Proc SPIE vol. 3748, (1999) pp585-591.

S P E C I A L F O C U S

There’s a very important new challenge

in the semiconductor industry today.

How to achieve superior defect imaging and the highest

accuracy in classifications. Advanced geometries, copper

interconnects and dual-damascene processes all demand it.

And now the eV300 defect review tool meets this

challenge. The eV300 is the only in-line ADC SEM

available that provides both 0- 45º tilt and 360º rotation

capabilities. And with image acquisition of less than one

second—it’s also the fastest. For more infor-

mation, please call us at (781) 280-1874, or

visit our website at www.kla-tencor.com.

HOW YOU LOOK AT THINGS IS VERY IMPORTANTIt can cost you time and money—or it can save you time and money.

Defect at Flat view0º Tilt

Defect at Flat view45º Tilt

Defect at 45º Tiltand 30º Rotate

circle RS#050

Page 58: Autumn00

Autumn 2000 Yield Management Solutions58

KLA-Tencor’s Tera™ family of reticle inspection tools delivers the speedand sensitivity required to address yield-limiting defect challenges associatedwith sub-wavelength lithography. Incorporating new advanced image-processing algorithms, the TeraStar™ and TeraScan™ 570 can inspectadvanced reticles incorporating phase shift mask and optical proximity correction technologies for 0.13-micron device manufacturing, and 0.10-micron device research and development.

TeraScan 570 The TeraScan 570 uses an argon-ion laser technology that delivers DUVwavelength inspection for die-to-database applications and provides a four-fold improvement in inspection speed over KLA-Tencor’s previous genera-tion 3XX tool. It is used to inspect reticles for 100 nm defects caused bypattern generation and mask processing at the mask shop.

TeraStar Used for final outgoing inspection at the mask shop and incoming qualifi-cation at the fab, TeraStar provides multi-beam UV reticle inspection toenable detection of all defect types on all reticle surfaces in a single pass. Italso can conduct simultaneously transmitted and reflected light inspectionfor contamination with a concurrent die-to-die inspection for detection ofpattern defects. This improves the throughput of the tool six-fold com-pared to inspections performed on previous KLA-Tencor STARlight 300-Series tools.

X-LINK An innovative software option provides a critical link in defect analysis byprojecting reticle defect coordinates to their corresponding location on thewafer. It allows data captured by the KLA-Tencor 9X Reticle InspectionTool to be translated and analyzed in two different ways via connectivitywith the 8250-R (reticle CD SEM) for reticle defect analysis (the R2Roption) and the 8250 (CD SEM), eV300 (SEM defect review), CRS (opticalreview) and 2350 (brightfield wafer inspection) for wafer defect analysis (theR2W option). Defect coordinates and images stored by the KLA-Tencor9X also can be translated and analyzed by third party reticle and waferreview and metrology tools.

8250-R CD SEM This latest CD SEM tool from KLA-Tencor fills one of the biggest gaps inCD SEM usage—engineering setup and burden—with advanced automa-tion and recipe setup capabilities, thus providing an advanced CD metrolo-gy solution available for the entire reticle manufacturing industry. Withthe new cRAG (Cats Recipe Auto-Generation), automation recipes can begenerated prior to the generation of a reticle, thus minimizing engineertime in front of the tool. By providing superb metrology and analysis at allpoints in the lithography process, KLA-Tencor offers real solutions for thelithography market.

Product News

Page 59: Autumn00

Autumn 2000 Yield Management Solutions 59

Impact SEM XP IMPACT SEM XP is KLA-Tencor’s latest addition to its suite of automaticdefect classification (ADC) software solutions. With IMPACT SEM XP,KLA-Tencor brings to its eV300 SEM review tool the same production-proven ADC capabilities already implemented on its high-resolution opti-cal wafer inspection platforms - enabling intelligent defect sampling andclassification, and more rapid, consistent and accurate sourcing of yield-limiting defects that affect device performance and reliability. With thesenew capabilities, customers can optimize the eV300 for use in classifyingand reviewing the extremely small defects associated with advanced semi-conductor manufacturing processes, including 0.13-micron and smallerdesign rules, thus dramatically reducing the cost of ownership (CoO) ofSEM review.

Klarity ProDATA KLA-Tencor’s lithography data analysis software is an easy-to-use, fast andaccurate tool that standardizes and automates analysis of experimental lith-ography data to allow engineers across the fab to use common analysisschemes. With Klarity ProDATA, users can adopt a systematic and robustapproach to understanding and optimizing their manufacturing processesto help reduce cycle times for process characterization, stepper and scannerlens qualification, new reticle introduction and resist evaluation.

The SEM Image Analysis Module, an optional new add-in to KlarityProDATA for analysis of CD SEM images, provides a variety of two-dimen-sional measurement capabilities on images imported from the KLA-TencorCD SEM. This module extracts the critical shape from reticle and waferSEM images and measures area, corner rounding, edge roughness and othermetrics. What’s more, it can overlap two separate SEM images, such as areticle and wafer image of the same feature, or design data and a SEMimage, and provide measurements of the critical shape difference and over-lapping area. The SEM Image Analysis Module enables fast characterizationand optimization of reticle processing and optical extension technology.

SEM Image Analysis Module (SIAM) KLA-Tencor’s new Klarity ProDATA extension enables quicker recognitionof two-dimensional shape effects in lithography processing. SIAM allowsusers to overlay images from different points in the lithography patterntransfer process and to quantify the critical shape difference (CSD) betweenpatterns. With SIAM, engineers can easily import and compare initial andpost-OPC design patterns, PROLITH simulations, and CD SEM images ofreticle, resist and etch features.

Page 60: Autumn00

10:15 A.M. YOU’VE GOT A PROBLEM. 10:17 A.M. WE FIX IT.

10:18 A.M. WE TELL YOU ALL ABOUT IT.

ALREADY THERE.

©2000 KLA-Tencor Corporation. iSupport is a trademark of KLA-Tencor Corporation.

Now the people taking care of your fab don’t even have to be in

your fab. That’s because iSupport™ technology connects our sup-

port engineers directly to your KLA-Tencor tools. So at the first sign

of trouble, we can respond immediately, notify you

instantly, or even fix it remotely – all with iron-clad

security. Plus, with real-time access to tool data,

remote diagnostics and on-line problem resolution,

productivity can be increased substantially. And cost of ownership

slashed. But that’s just for starters. iSupport can also help you deal

with application issues, equipment setup, software updates and

training. Even accelerate new tool and fab ramps in just minutes.

For the industry’s first and only on-line support program, call

1-800-450-5308, visit www.kla-tencor.com, or contact your local

KLA-Tencor field office. You’ll see it’s the best way to keep your

yield-maximizing tools maximized.

circle RS#049