Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning...

33
9 Nanopatterning by Area-Selective Atomic Layer Deposition Han-Bo-Ram Lee and Stacey F. Bent In conventional device fabrication, patterning is a top-down process based largely on photolithography and etching and is a main bottleneck for device downscaling. Atomic layer deposition (ALD) can provide an alternative bottom-up method for patterning when used in conjunction with self-assembled materials and selective chemistries. As presented in previous chapters, ALD is a powerful technique for depositing thin lms for nanoscale device fabrication thanks to its excellent con- formality, atomic scale thickness controllability, and large-area uniformity. Film growth controlled by surface reactions is one of the inherent properties of ALD. Because in an ideal ALD process, all of the precursors used for ALD react with each other only at the surface, highly conformal lms can be deposited even inside complex 3D structures. By taking advantage of this inherent surface reaction property, ALD can be utilized for patterning based on a bottom-up process. In the following chapter, selective deposition methods will be presented. The contents include the surface modication techniques that are employed to exploit the surface reaction properties of ALD and related patterning processes with reported results. 9.1 Concept of Area-Selective Atomic Layer Deposition Film growth by ALD begins with formation of nuclei through a reaction of precursor molecules and surface species. Once nuclei are formed on a surface, the growth of the lm may proceed by growth and coalescence of the nuclei, whereas if no nucleation occurs no lm will be deposited. Therefore, the deposition characteristics of ALD strongly depend on the surface properties of the substrate. For example, in many cases, the nucleation of ALD is easy on hydrophilic OH-terminated substrates (e.g., SiO 2 ), while it is difcult on hydrophobic H-terminated surfaces (e.g., Si) [14]. Similarly, a nucleation delay in ALD, typically called the incubation time, is due to difculty of nucleation at the surface. The nucleation delay and the variability of the growth characteristics depending on the surface can be problematic in typical thin Atomic Layer Deposition of Nanostructured Materials, First Edition. Edited by Nicola Pinna and Mato Knez. Ó 2012 Wiley-VCH Verlag GmbH & Co. KGaA. Published 2012 by Wiley-VCH Verlag GmbH & Co. KGaA. j 193

Transcript of Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning...

Page 1: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

9Nanopatterning by Area-Selective Atomic Layer DepositionHan-Bo-Ram Lee and Stacey F. Bent

In conventional device fabrication, patterning is a top-down process based largely onphotolithography and etching and is a main bottleneck for device downscaling.Atomic layer deposition (ALD) can provide an alternative bottom-up method forpatterning when used in conjunction with self-assembled materials and selectivechemistries. As presented in previous chapters, ALD is a powerful technique fordepositing thin films for nanoscale device fabrication thanks to its excellent con-formality, atomic scale thickness controllability, and large-area uniformity. Filmgrowth controlled by surface reactions is one of the inherent properties of ALD.Because in an ideal ALD process, all of the precursors used for ALD react with eachother only at the surface, highly conformal films can be deposited even insidecomplex 3D structures. By taking advantage of this inherent surface reactionproperty, ALD can be utilized for patterning based on a bottom-up process. In thefollowing chapter, selective deposition methods will be presented. The contentsinclude the surface modification techniques that are employed to exploit the surfacereaction properties of ALD and related patterning processes with reported results.

9.1Concept of Area-Selective Atomic Layer Deposition

Film growth by ALD begins with formation of nuclei through a reaction of precursormolecules and surface species.Oncenuclei are formed on a surface, the growth of thefilm may proceed by growth and coalescence of the nuclei, whereas if no nucleationoccurs no film will be deposited. Therefore, the deposition characteristics of ALDstrongly depend on the surface properties of the substrate. For example, in manycases, the nucleation of ALD is easy on hydrophilic OH-terminated substrates (e.g.,SiO2), while it is difficult on hydrophobic H-terminated surfaces (e.g., Si) [1–4].Similarly, a nucleation delay in ALD, typically called the incubation time, is due todifficulty of nucleation at the surface. The nucleation delay and the variability of thegrowth characteristics depending on the surface can be problematic in typical thin

Atomic Layer Deposition of Nanostructured Materials, First Edition. Edited by Nicola Pinna and Mato Knez.� 2012 Wiley-VCH Verlag GmbH & Co. KGaA. Published 2012 by Wiley-VCH Verlag GmbH & Co. KGaA.

j193

Page 2: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

film deposition by ALD. However, this apparent disadvantage can also provide anopportunity to exploit ALD as a nanopatterning tool, as described in this chapter.

If we can control the surface properties, we can control the film deposition.Figure 9.1 provides a simple drawing to illustrate this concept, for an exemplary casewhere nucleation occurs preferentially on an OH-terminated surface. There may betwo regions of the surface, OH-terminated (hydrophilic) and H-terminated (hydro-phobic), which are separately formed as shown in Figure 9.1a and b. The precursormolecules come into contact with the two different surface terminations during theALD cycles. Although the precursors are exposed on the entire surface, the pre-cursors chemisorb only on the OH-terminated surface and not on the H-terminatedsurface, as shown in Figure 9.1c. Subsequently, the self-saturating surface reactionoccurs only on the OH-terminated surface region, leading to nucleation (seeFigure 9.1d). As the ALD cycle is repeated, the film is selectively grown on theregions of the substrate that were initially OH-terminated, as shown in Figure 9.1e.Finally, patterned films are formed, and the film pattern is directly transferred from

Figure 9.1 The schematic drawings for theconcept of AS-ALD. (a and b) Preparation of asubstrate with two kinds of surfaces, OH- andH-terminated surfaces, (c) adsorption of

precursor only on the OH-terminated surface,(d) self-saturated reaction only on the OH-terminated surface, and finally (e) self-patternedthin film formation.

194j 9 Nanopatterning by Area-Selective Atomic Layer Deposition

Page 3: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

the pattern of surface termination. In this example, starting with nucleation-active(OH-terminated) and nucleation-inactive (H-terminated) regions of the surface, thedeposited films are spontaneously patterned without the need for photolithographyand etching because of the surface-specific growth nature of ALD. This patterningmethod is called �area-selective ALD� (AS-ALD). It is also referred to as selective areaALD. Selective chemical vapor deposition (CVD) using a similar approach waspreviously demonstrated for Pd and Pt [5]. Because gas-phase chemistry in additionto surface reactions can occur in CVD, in certain cases ALDmay bemore effective fora selective deposition process due to its surface-sensitive growth mechanism.

For AS-ALD, two requirements must be considered. First, how can the surfaceproperties of a substrate be controlled? Although the example shown in Figure 9.1was chosen to simplify the concept of AS-ALD, real substrates used for thin filmdepositionhave awide variety of surface properties.Moreover, the controlled surfacesmust exhibit selectivity in their reaction with the precursors used. Second, thecontrolled surfaces should contain patterns like the cartoon of Figure 9.1a. Becausethe pattern of the substrate is directly transferred to the final film pattern in AS-ALD,the preparation of the surface property pattern is important. To exploit AS-ALD forvarious applications, control over pattern shape and minimum feature size isrequired. The following sections are dedicated to these considerations: change ofsurface properties and patterning of surfaces.

9.2Change of Surface Properties

9.2.1Self-Assembled Monolayers

Self-assembled monolayers (SAMs) are organic films of single molecular thickness.Typically, SAMs consist of three parts: the head group, backbone, and tail group [6, 7].Figure 9.2a shows the molecular structure of octadecyltrichlorosilane (ODTS)[CH3(CH2)17SiCl3], which is one of the commonly used molecules for SAMs onSiO2 substrates. The head group is directly bonded to the surface of the substratethrough chemisorption. The alkyl chain as a backbone is composed ofC�CandC�Hbonds, and van der Waals interactions between chains further contribute to theordering of the monolayer. The tail group is exposed at the outer interface after theformation of a well-packed SAM on the substrate. For this case, because ODTS hasmethyl (�CH3) as a tail group, the surface is converted into CH3 termination afterdeposition of ODTS. If the original substrate is SiO2, the surface property ishydrophilic due to the presence of OH termination; after deposition of ODTS onthe SiO2 substrate, the final CH3-terminated surface is hydrophobic as shown inFigure 9.2b. Contact angle pictures of water droplets in Figure 9.2c clearly show thedifference of hydrophobicity before and after the formation of an ODTS SAM.

SAMs canbe categorized into threemain types based on the bonding between theirhead groups and the substrate surface [6]. The n-alkanoic acid (CnH2nþ 1COOH)

9.2 Change of Surface Properties j195

Page 4: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

SAMs bond to the surface through ionic bonding. It was reported that n-alkanoic acidforms on native oxide surfaces of Al [8, 9], Ag [10, 11], andCu [12] by reaction betweenthe metal cation and carboxylate anion. Another type of SAM, composed of orga-nosulfur head groups, is formed by charge transfer complex. The formation oforganosulfur SAMs has been mainly studied for Au surfaces. It was found that theorganosulfur SAM molecules are formed by lateral alignments up to a criticalcoverage value, followed by realignment of molecules into the vertical direction[13, 14]. Many researchers have investigated the formation of organosulfur SAMs onvarious surfaces, such as Ag [15, 16], Cu [16, 17], Pt [18], Fe2O3 [19], GaAs [20],InP [21], and Ge [22]. The ODTS SAMs mentioned above consist of organosilicon,which is chemisorbed on the surface through covalent bonding. The hydroxyl group,formed from the reaction of silicon chloride bonds and water, reacts with the silanolgroups present at the surface, resulting in a covalent Si�O�Si bond [6]. Cross-linking

Figure 9.2 (a)Molecular structure of ODTS SAM. (b) Schematic drawing of ODTS SAMcoated onSiO2 surface. (c) Contact angle pictures before and after ODTS coating.

196j 9 Nanopatterning by Area-Selective Atomic Layer Deposition

Page 5: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

can also occur between neighboring hydroxyl groups in the adsorbates. Because theresulting SAMcontainsmolecules that are covalently linked both to the substrate andto their neighbors, the ODTS SAM shows robust bonding [23–25]. OrganosiliconSAMs have been successfully formed on various substrates, including SiO2 [25, 26],Al2O3 [27, 28], quartz [29, 30], ZnSe [27], GeO [27], TiN [5], and Au [31]. Instead of anorganosilicon head group, C in molecules such as alkenes and alkynes can also bedirectly bonded to H-terminated Si surface with covalent bonding [32, 33].

Because of the spontaneous formation of SAMswith close-packed structure aswellas strong chemical bonding to the substrate, SAMs have been shown to be useful formany examples of nanofabrication including soft lithography, molecular electronics,control of wetting and friction behavior, and protection of surfaces against corrosiveenvironments [34–36]. SAMs also provide a suitable method to change the surfaceproperties for AS-ALD. Because SAMs ofmany kinds of head groups and tail groupscan be formed, the surface can be easily modified to incorporate various propertiesjust by coating SAMs on the original substrate. For instance, a single surface can bemodified to have regions of two ormore different properties depending on the choiceof SAM. Seo et al. demonstrated a change of the properties of a Au surface by usingtwo different SAMs, octadecanethiol (ODT) and mercaptoundecanol (MOU) [37].These two SAMmolecules have same thiol head group but different tail groups: CH3

termination andOH termination for ODTandMOU, respectively. Consequently, theoriginal Aumetal surface was separately modified into hydrophobic and hydrophilicregions. After anALDprocess on this substrate, TiO2filmswere found to deposit onlyon the hydrophilic surfaces.

To date, many SAM molecules have been investigated for AS-ALD. The reportedSAM molecules are summarized with the corresponding ALD film materials inTable 9.1. Although there aremanydifferent SAMs reported, it is noted that the SAMsused for AS-ALD have been limited to a few categories of molecules. As shown inTable 9.1, nearly all the SAMshave samehead group, organosilane, andmost of thoseare chlorosilanes. As mentioned earlier, the head group plays the role of linker to thesubstrate. Because Si is the most commonly used substrate for many applications,SAMs composed of organosilane head groups that easily form bonds to the siliconoxide surface at the Si substrate are the most intensively studied.

The change of the surface properties caused by the presence of the SAM directlyaffects the deposition characteristics of ALD. The SAMsmay act as either an activatoror a deactivator for film deposition. Several studies have proposed and reported amodel to explain the deactivation mechanism related to surface reactions in ALD. Inmany reports, the CH3-terminated surface formed by SAMs is a deposition deac-tivator while the OH-terminated surface (typically the uncoated original surface) is arelatively active surface for deposition. In a previous report, Lee et al. clearly showedthe role of the CH3-terminated surface as a deposition deactivator [41]. To fabricatevarious ratios of CH3 to OH termination at the surface, two SAM moleculescomposed of different tail groups, CH3 and OH, were mixed with different ratios.The resulting surfaces coated by the mixed SAMs showed various values of hydro-phobicity according to the mixing ratio, with the calculated surface energies decreas-ing with increasing CH3 termination ratio. The results on TiO2 ALD, as summarized

9.2 Change of Surface Properties j197

Page 6: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

Table 9.1 Reported SAMs for AS-ALD.

SAMs Film material Substrates

Organosulfur 11-Mercapto-1-undecanol[HOCH2(CH2)10SH]

TiO2 Au [37]

1-Octadecanethiol[CH3(CH2)17SH]

TiO2 Au [37], Ge [38]

Organosilicon Benzyltrichlorosilane[C7H7SiCl3]

HfO2 SiO2 [39]

Bromotrimethylsilane[(CH3)3SiBr]

HfO2 SiO2 [39]

Chlorotrimethylsilane[(CH3)3SiCl]

TiO2

HfO2

SiO2 aerogels [40]SiO2 [39]

Decyltrichlorosilane[CH3(CH2)9SiCl3]

TiO2 SiO2 [41]

Dimethyldichlorosilane[(CH3)2SiCl2]

HfO2 SiO2 [39]

Hexadecyltrichlorosilane[CH3(CH2)16SiCl3]

WNxCy SiO2 [42]

Iodotrimethylsilane[(CH3)3SiI]

HfO2 SiO2 [39]

iso-Butyltrichlorosilane[iso-BuSiCl3]

HfO2 SiO2 [39]

Methyltrichlorosilane[(CH3)SiCl3]

HfO2 SiO2 [39]

Methyl-10-(trichlorosilyl)decanoate[H3CO2C(CH2)9SiCl3]

TiO2 SiO2 [41]

N-Butyltrichlorosilane[n-BuSiCl3]

HfO2 SiO2 [39]

Octadecyltrichlorosilane[CH3(CH2)17SiCl3]

TiO2 SiO2 [35, 43, 44], silicacolloidal sphere [43]

ZrO2 SiO2 [45–47]HfO2 SiO2 [39, 45, 48–51],

YSZ [48]Al2O3 SiO2 [52]ZnO SiO2 [53], glass [54]TiN SiO2 [55]Pt SiO2 [48, 50, 56, 57], YSZ

[48, 56, 57]Ru SiO2 [58], HfO2 [58]PbS SiO2 [59]Co SiO2 [60, 61]

Octadecyltriethoxysilane[CH3(CH2)17Si(OCH2CH3)3]

HfO2 SiO2 [39]

Octadecyltrimethoxysilane[CH3(CH2)17Si(OCH3)3]

IrHfO2

SiO2 [62]SiO2 [39]

198j 9 Nanopatterning by Area-Selective Atomic Layer Deposition

Page 7: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

in Figure 9.3 [41], showed that TiO2 nucleation occurred easily on theOH-terminatedsurface, while the depositionmode changed to island growthwith an increasing ratioof CH3 termination [41]. This research suggests that the CH3 termination in a SAMlowers the surface energy, resulting in reduced nucleation of the ALD reaction.Similarly, Xu and Musgrave [52] reported thermodynamic and kinetic calculationsusing density functional theory for the reaction of trimethylaluminum (TMA) onvarious SAM-terminated surfaces. The TMA precursor for Al2O3 ALD had muchhigher reactivity on the OH-terminated surface than either the NH2- or CH3-terminated surface [52]. An ab initio study based on density functional theory (DFT)

Table 9.1 (Continued)

SAMs Film material Substrates

Octyltrichlorosilane[CH3(CH2)6SiCl3]

WNxCy

HfO2

SiO2 [42]SiO2 [39]

tert-Butyltrichlorosilane[tert-BuSiCl3]

HfO2 SiO2 [39]

Tetrahydrooctyltrichlorosilane[CF3(CF2)5(CH2)2SiCl3]

HfO2

PtTiN

SiO2 [39, 50]SiO2 [50, 56], YSZ [56]SiO2 [55]

Triacontyltrichlorosilane[CH3(CH2)29SiCl3]

TiN SiO2 [55, 63]

Alkylmonolayer

1-Decene[(CH)2(CH)(CH2)7(CH)3]

HfO2

PtGe [64]Ge [64]

1-Decyne[(CH)C(CH2)7(CH)3]

HfO2

PtGe [64]Ge [64]

1-Dodecene[(CH)2(CH)(CH2)9(CH)3]

HfO2

PtGe [64]Ge [64]

1-Dodecyne[(CH)C(CH2)9(CH)3]

HfO2

PtGe [64]Ge [64]

1-Hexadecene[(CH)2(CH)(CH2)13(CH)3]

PtHfO2

Ge [64], glass [65]Ge [64]

1-Octadecene[(CH)2(CH)(CH2)15(CH)3]

HfO2

PtSi [50, 64], Ge [64]Si [49, 64], Ge [64]

1-Octene[(CH)2(CH)(CH2)5(CH)3]

HfO2

PtGe [64]Ge [64]

1-Octyne[(CH)2(CH)(CH2)5(CH)3]

HfO2

PtGe [64]Ge [64]

1-Tetradecene[(CH)2(CH)(CH2)11(CH)3]

HfO2

PtGe [64]Ge [66]

Alkanoicacid

1-Undecylenic acid[(CH2)(CH)(CH2)8COOH]

Al2O3 Si [64]

9.2 Change of Surface Properties j199

Page 8: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

has also shown that the reaction of several Ti and Zr precursors including tetrakis(dimethylamido)titanium (TDMAT), tetrakis(diethylamido)titanium (TDEAT), titaniumtetramide (Ti(NH2)4), tetrakis(dimethylamido)zirconium (TDMAZ), and zirconiumtetramide (Zr(NH2)4) has reactivity in the order OH> SH>NH2 [67]. Dube et al.investigated the growth mode of TiN ALD experimentally using TDMAT and NH3 onSAMs with several different tail groups such as OH, NH2, and CH3, and found that theCH3-terminated surface much more effectively attenuated TiN growth than OH- andNH2-terminatedsurface,whichwasstronglydependenton thestructureand thicknessofSAMs possessing a CH3 tail group [55, 63].

If the SAM is used as a deposition deactivator, the ability to block the filmdeposition during theALDcycle is important. In otherwords, because the deactivatedregion formed by the SAM becomes the film-free region of the final pattern,incomplete deactivation may cause failures in final device fabrications. Chenet al. focused on the effects of alkyl chain length, reactive head group, and tail groupstructure in their studies [39]. From a systematic study, it was found that the majorfactor affecting deactivation of film deposition is strongly related to the quality ofpacking. Because a long alkyl chain length produces higher van der Waals forcesbetween SAM molecules than a short alkyl chain, it makes the packing of SAMmolecules dense. In addition, a smaller profile of the tail group increases the packing

Figure 9.3 Three-dimensional AFM images(5 mm� 5 mm) for the TiO2 films deposited onthe SAMs. RSAMs is the ratio of OH to CH3

termination. (a) Pure OH termination(rms¼ 2.5 A

�); (b) RSAMs¼ 4 : 1 (rms¼ 20.4 A

�);

(c) RSAMs¼ 2 : 1 (rms¼ 128.4 A�); (d)

RSAMs¼ 1 : 1 (rms¼ 175.5 A�); (e) RSAMs¼ 1 : 2

(rms¼ 256.8 A�); (f) RSAMs¼ 1 : 3 (rms¼ 326.3

A�). Reproduced with permission from Ref. [41].

Copyright 2003, Wiley-VCH Verlag GmbH.

200j 9 Nanopatterning by Area-Selective Atomic Layer Deposition

Page 9: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

quality because of less steric hindrance, so less bulky tails such as linear alkanes aremore effective than branched or aryl tails. The nature of theALDprecursor is anotherfactor that may affect the deactivation of film deposition by SAMs. In one study, eventhough the same ODTS SAM was used as a deactivation agent for both HfO2 and PtALD, the ability to block the deposition of Pt was higher than that of HfO2 [48]. Thismay be due to precursor size or inherent reactivity [48]. Bent and coworkers have in aseries of studies reported the effects of the SAM coating quality on the blockingproperties [39, 52, 56, 59, 64, 68, 69]. Although a surface coated by ODTS may showhigh hydrophobicity even with a short coating time, its property was not sufficient toblock the deposition of HfO2 and ZrO2 by ALD [70]. To achieve effective blocking ofALD films, sufficient coating time was required to form densely packed SAMswithout pinholes. Because selective deposition in many reported AS-ALD resultsrelies on a change of hydrophobicity, namely, surface energy, the quality of the SAM isoften estimated by static water contact angle measurement [71–73]. However, thecontact angle analyzes the overall quality of the SAM coating, so it is hard to detectmicroscopic defects such as pinholes. Therefore, an optimization of the packingcondition for the SAM is required prior to performing the ALD process on thesubstrate.

9.2.2Polymers

Polymers have been intensively investigated for various applications, especially for Sidevice fabrication, since polymers as a photoresist (PR) are essential for thephotolithography process [74, 75]. For photolithography, a polymeric PR serves aseither a mask for subsequent etching processes or a passivation layer for liftoffprocesses [74, 75]. Whereas SAMs are formed on a surface through chemicalreactions and molecular ordering with formation of chemical bonds to surfacespecies, a polymer usually only physisorbs on a surface. So, formation and removal ofthe polymer is easier than that of SAMs. Therefore, polymers can be applied by spincoating, which is an inexpensive, convenient, and simple method. In many cases,surfaces coated by a polymer exhibit hydrophobic properties due to the presence ofhydrocarbon chains. Because of the nature of the polymer surface, an adhesionpromoter such as hexamethyldisilazane (HMDS) is required to coat PR on thehydrophilic SiO2 surface for the photolithography process to enhance adhesionbetween thePRandSiO2 [74, 75]. By exploiting this surface property, a polymer canbean effective deactivator for ALD film growth. In addition, the readily availableknowledge of polymer properties and simple fabrication procedures are two bigadvantages to applying AS-ALD into mass production.

Reported AS-ALD processes using polymers are summarized in Table 9.2 [39, 54,55, 76–83]. In early studies, researchers investigated the blocking ability of polymerlayers against various ALD systems. Sinha et al. have published several papers onTiO2 AS-ALD using poly(methyl methacrylate) (PMMA) as a deposition deactiva-tor [76–79]. They employed titanium tetrachloride (TiCl4) as precursor and water as areactant [77]. TiO2 deposition was observed even on polymer-covered regions of the

9.2 Change of Surface Properties j201

Page 10: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

substrate, and the amount of Ti was dependent on the thickness of the polymer,indicating that precursors penetrated into the PMMA layer, and then reacted at theinterface between PMMA and the substrate [77]. In a subsequent paper, they focusedon effects of precursor chemistries on the blocking capability of PMMAby using twodifferent Ti precursors, TiCl4 and titanium isopropoxide (Ti(OiPr)4) [Ti[OCH(CH3)2]4] [78]. A PMMA layer with 10 nm thickness effectively attenuates TiO2

deposition from the Ti(OiPr)4 precursor while TiO2 ALD using TiCl4 required atleast 200 nm of PMMA to block the deposition. Furthermore, evidence of Ti wasfound inside the PMMA layer after exposure of TiCl4 precursor without reactantwater, indicating that the high reactivity of TiCl4 results in a degradation of theblocking property of PMMA [78]. By using a quartz crystal microbalance (QCM),adsorption and desorption behavior of the two Ti precursors on several polymers,PMMA, polyhydroxystyrene (PHOST), and hexafluoroisopropyl alcohol (HFA-PNB),was investigated [76]. The results showed that the TiCl4 precursor had higherdiffusivity than Ti(OiPr)4, which was consistent with the experimental results onTiO2 ALD [76]. In another study on AS-ALD, the blocking ability of PMMA towardvarious ALD systems – Pt, Ir, Ru, Al2O3, and TiO2 – was investigated [81]. PMMA

Table 9.2 Reported polymer deactivator for AS-ALD.

Deposition deactivator Materials References

Hexamethyldisilazane [HN[Si(CH3)3]2] HfO2 [39]Rh [82]TiN [55]

Hexafluoroisopropyl alcohol TiO2 [76]Photoresist Rh [82]

Ru [84]Polyhydroxystyrene TiO2 [76]Polymethacrylamide Pt [85]Poly(methyl methacrylate) TiO2 [76–78, 81, 86]

Ir [81]Pt [81]Ru [81]Al2O3 [81]ZnO [54]

Polystyrene ZnO [54]Poly(tert-butyl methacrylate) TiO2 [79]Polyvinylpyrrolidone Pt [80]

ZrO2 [80]Ru [80]Ir [80]Al2O3 [80]

Wax in cicida�s wing ZnO [83]Al2O3 [83]

202j 9 Nanopatterning by Area-Selective Atomic Layer Deposition

Page 11: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

successfully prevented the growth of Pt, Ir, Ru, and TiO2 even at a relatively highdeposition temperature of 300 �C [81]. For Al2O3 AS-ALD using tetramethylalumi-num (TMA) and AlCl3 as a precursor, growth of Al2O3 films was observed even onPMMA-coated regions. Compared to the TMA precursor, AlCl3 was more reactivewith PMMA. In subsequent research, the authors tried to use another polymer,polyvinylpyrrolidone (PVP), for deactivation and investigated the blocking capabilityaccording to precursors [80]. In contrast to PMMA, TMA is more reactive than AlCl3on a PVP deactivation layer. This opposite behavior can be attributed to the solubilitydifference of the precursors in the polymer layers [80].

9.2.3Inherent Surface Reactivity

Although SAMs have the ability to produce various surface properties thanks to thelarge variety of head and tail groups, the inherent properties of surfaces can also beused to achieveAS-ALD. In fact, control of surface termination has been utilized forselective deposition with other vapor-phase deposition techniques, such asCVD [87]. H-terminated Si and OH-terminated SiO2 are typical surfaces used forarea-selective CVD (AS-CVD) because of large differences in their bonding andreactivity [87–89]. Al and W deposition for metallization is one of the well-establishedAS-CVDprocesseswhereW films are deposited only on the Si substratebut not on SiO2 and Si3N4 insulators [89]. In this scheme, the precursors exhibitreaction selectivity with surface species, so reduction of the precursors occurs onlyon specific surfaces. Similarly, AS-ALD was investigated on H-terminated Si andCVD-grown Si3N4 surfaces by using SiH2Cl2 andNH3 as a precursor and a reactant,respectively [90]. SiNx films were formed only on H-terminated surfaces. Thegrowth of SiNx begins from the formation of N�H bonding from the reaction ofsurface H and NH3. The SiH2Cl2 precursor reacts only at N�H terminated sites,resulting in the growth of SiNx [90]. Recently, AS-ALD HfO2 was reported on twodifferent surface materials, Si and Cu [91]. No HfO2 film was formed on the Cusurface up to 25 cycles; beyond 25 cycles, HfO2 was deposited. This depositionselectivity was attributed to the incubation time of HfO2 deposition on the Cusurface [91]. In fact, surface-dependent growth has been reported several times inALD [92–95]. Moreover, the dependence of ALD incubation time on the substratehas been reported many times [96–99]. For small film thicknesses, AS-ALD usinginherent surface properties, namely, exploiting differences in incubation time, canbe an effective method.

Another approach is to combine SAMswith inherent surface property differences.The appropriate head group for the formation of a stable monolayer dependssensitively on the substrate. For example, SAMs of alkyltrichlorosilanes[CH3(CH2)n�1SiCl3] are preferably adsorbed on Si3N4, SiO2, and other oxide sur-faces. This specificity to the particular substrate suggests that SAMs may beselectively deposited on different surfaces, or, more relevantly, on different areason the same substrate. For example, ODTS preferentially reacts with an OH-

9.2 Change of Surface Properties j203

Page 12: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

terminated surface while an alkene preferentially reacts with a H-terminatedsurface [33, 100, 101]. If a substrate with patterns of OH- and H-terminated regionsis exposed to ODTS or alkenes, under proper conditions a SAM can be selectivelyformed for each surface termination [49, 68]. This allows the patterns of surfacetermination to be propagated into a pattern of SAMS,which in turn provide a pathwayto fabricate the final film pattern through AS-ALD. In such an approach, AS-ALD canbe used without an additional patterning step for the SAM.

9.2.4Vapor-Phase Deposition

Although liquid-phase deposition is an easy and simple route to form SAMs on asubstrate, it is not easily scaled up nor readily applied to current unit operations forSi device fabrication that are based on vacuumprocesses. To address this limitation,several researchers have investigated the formation of SAMs by vapor-phasedeposition [50, 52, 62, 102–105]. The vapor phase provides several advantagesover a liquid-phase process. One advantage is less consumption of the SAMmolecules. Furthermore, aggregation of the SAM molecules prior to depositionat the substrate, a process that leads to a deterioration of the quality of the SAM inliquid-phase processes, is significantly reduced in vapor-phase deposition process-es [106, 107]. In addition, since SAMs can be formed in situ inside of the ALDchamber, the vapor-phase deposition of SAMs has benefits for an integrated tool formass production. Similar to the delivery process used for the ALD precursors, theSAMsolutionmay be contained in a separate bubbler and attached to the depositionchamber where the substrate is placed. Alternatively, the SAM solution may beplaced in the chamber, so the vaporized SAMmolecules are directly adsorbed on thesubstrate.

In the vapor-phase deposition process, because the formation of SAMs occursunder vacuum conditions, the water concentration, which is one of the key factorsfor the formation of high-quality SAMs, must be controlled differently from otherdeposition methods [25, 102, 108]. Typically, controlled amounts of water vapormay be deliberately dosed together with the SAM molecules. Leskel€a�s group atUniversity of Helsinki investigated the vapor-based deposition of octadecyltri-methoxysilane (CH3(CH2)17Si(OCH3)3, ODS) with water to form a SAMblocking layer for AS-ALD of Ir [64]. In this study, the vaporized ODS wasdeposited on Al patterns and the patterning of ODS was performed by a liftoffprocess though etching of the Al. The selectivity was found to be better for the ODSSAMs formed by alternating exposure of SAM molecules and water during vapor-phase delivery.

Hong et al. studied the use of vapor-deposited ODTS, tridecafluoro-1,1,2,2-tetra-hydrooctyltrichlorosilane (FOTS), and 1-octadecene as deactivation layers forALD [50]. The ODTS and FOTS were used to form SAMs on OH-terminated siliconoxide surfaces while 1-octadecene was used to form monolayers on H-terminatedsilicon surfaces. The SAMs were shown to effectively deactivate HfO2 and Pt ALD.Furthermore, the ODTS SAMs could be selectively adsorbed on OH-terminated

204j 9 Nanopatterning by Area-Selective Atomic Layer Deposition

Page 13: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

regions of a surface and not on H-terminated regions, even with exposure over theentire surface. This result shows the feasibility for vapor-phase deposition topropagate the transfer of a pattern on the surface materials into a SAM pattern. Inaddition, it was found that the formation time for densely packedSAMmoleculeswassimilar to that of liquid-phase deposition.

9.3Patterning

9.3.1Surface Modification without Patterning

The most common protocol for preparing SAMs on a substrate is liquid-phasedeposition. The SAMmolecules are diluted in solvents, such as hexane, toluene, andchloroform, and then freshly prepared and clean substrates are fully immersed in thesolution for a controlled period of time. The mobile SAM molecules adsorb on thesurface and spontaneously assemble into a monolayer. Many early researchersemployed this solution-based deposition as summarized in Table 9.3 because it isvery simple as well as easy to form high-quality SAMs; in those studies, theSAMs fully coated the entire surface without patterns, allowing the efficacy ofdeactivation to be investigated [39–42, 45, 51, 52, 54, 60, 61]. For polymer filmfabrication, spin coating has typically been used [39, 54, 55, 76–83]. In spin coating, apolymer is dissolved in an appropriate solvent, and the solution is dropped onto asurface undergoing rotation. As the solvent is evaporated during spin coating andsubsequent baking, thin films of the polymer are formed. The polymer layerthickness is determined by rotation speed and viscosity of the solution. However,the ability to impart a pattern in AS-ALD is required for various applications, somuch research has investigated and developed various patterning methods, asdescribed below.

9.3.2Microcontact Printing

Microcontact printing (mCP) is one of the most commonly used methods for thepatterning of SAM molecules [112, 113]. Figure 9.4a shows a schematic drawing ofthemCPprocess. The concept ofmCP is simple, and it canbe compared to stamping.Apatterned stamp is immersed in a SAMsolution as an ink and then the inked stamp iscontacted with a substrate. Consequently, the ink is directly transferred onto thesubstratewith the pattern of the stamp. The SAMs are thus formedwithin the regionsdefined by the stamp patterns. Generally, the stamp ismade of elastomericmaterials,such as polydimethylsiloxane (PDMS), through photolithography-assisted processesas described in Figure 9.4b [114–117]. A Si master with the opposite pattern to thatdesired for the stamp is made by photolithography and etching processes, leading tothe master as shown at the top of Figure 9.4b. The PDMS solution is cured onto the

9.3 Patterning j205

Page 14: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

surface relief pattern of the Simaster, and the pattern is thus transferred to the stamp.The mCP process provides a convenient, quick, and inexpensive way of patterningSAMs. In addition, the SAMs can be coated onto curved surfaces by mCP because ofthe flexibility of the elastomeric stamp [118, 119]. So, this method has become one ofthe most widely adopted approaches in AS-ALD.

Park et al. reported a process usingmCPwith aPDMSstampandODTS forAS-ALDof TiO2 in 2004 [35]. The results showed that TiO2 patterns could be obtained down tothe submicron scale by mCP, indicating that AS-ALD using a PDMS stamp is feasiblein the nanoscale regime. This work followed an earlier demonstration in 1997 ofarea-selective CVD of Pt using mCP SAMs by Jeon et al. [5]. Jiang et al. also examined

Table 9.3 Reported patterning method for AS-ALD.

Patterning method Materials References

Liquid-phase deposition TiO2 [40, 41]HfO2 [39, 45, 51]ZrO2 [45]Al2O3 [52]Co [60, 61]WNxCy [42]ZnO [54]

Microcontact printing TiO2 [35, 37, 109]ZnO [53, 109]Ru [58]Pt [48, 56, 57]HfO2 [48]ZrO2 [109]

Vapor-phase deposition HfO2 [50]Ir [62]Pt [50]

Photolithography ZrO2 [46]Co [60]TiO2 [77–81]Pt [80, 81]Ru [80, 81]Ir [80, 81]Al2O3 [80, 81]

Nanotemplating TiO2 [43, 44, 110, 111]ZrO2 [110, 111]ZnO [111]

Scanning probe lithography ZrO2 [47]TiO2 [86]PbS [59]

206j 9 Nanopatterning by Area-Selective Atomic Layer Deposition

Page 15: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

AS-ALD of Pt and HfO2 through mCP in a series of papers [48, 56]. In one of theirstudies, the pattern transferwas performedonto yttrium-stabilized zirconia (YSZ) forfuel cell applications. Figure 9.5 shows themapping data obtained in that work of AS-ALD Pt on a YSZ substrate analyzed by scanning Auger electron spectroscopy [56].The deposition selectivity of Pt AS-ALD using mCP with a PDMS stamp wasconfirmed with up to 1 mm resolution. In addition, it was found that the contacttime is essential [48, 56]. Because the organosilanemolecules need a certain length oftime to form a quality SAM on the substrate, the stamp should be kept on thesubstrate for above a minimum time during ink transfer. The optimized contacttime was estimated by measurement of contact angle and film thickness of theSAMs [48, 56].

9.3.3Photolithography

Photolithography is another approach for defining polymer patterns as well as SAMpatterns. For this method, the surface modification layers, such as the SAM or the

Figure 9.4 The schematic drawings for (a) mCP printing for SAM patterning and (b) fabricationsteps for elastomeric stamp.

9.3 Patterning j207

Page 16: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

Figure 9.5 Auger elemental maps for (a) platinum, (b) carbon, and (c) zirconium on the gridstructure patternedbymCPwithODTSSAMafter AS-ALDof Pt on YSZ. Reproducedwith permissionfrom Ref. [56]. Copyright 2007, the Electrochemical Society.

208j 9 Nanopatterning by Area-Selective Atomic Layer Deposition

Page 17: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

polymer, play the role of the photoresist used in a conventional photolithographyprocess. After coating the SAMon the substrate, amask is placed over the substrate asshown in Figure 9.6b. Upon irradiation, light is passed through the openings of themask and removes the SAM in the exposed regions. This method is quite similar toconventional photolithography. For polymer layers, exactly the same scheme is usedexcept the change of modification layer from SAM to polymer. Lee and Sunginvestigated the use of a specialized mask containing photocatalytic materials [46].Photocatalytic TiO2 material was deposited onto the open regions of the mask, andupon exposure to UV light the TiO2 produced activated O2 that was effective inremoving the SAM material (see Figure 9.7a). By using this photocatalytic mask,ODTSwas partially removed in the pattern, and ZrO2 was deposited by ALD on thesemodified regions as shown in the AFM data of Figure 9.7b [46]. In another report,photolithography using a PR combined with liquid-phase deposition of ODTS wascarried out [60]. After PR (AZ4330) patterning by photolithography, the substrate wasimmersed in a SAM solution. Because ODTS did not adsorb on the PR region, theODTSSAMwas formed only on thePR-free regions of the substrate. After removal ofthe PR, a patterned ODTS surface was generated, and this pattern was transferredinto the final Co films by Co AS-ALD [60].

Another approach is to use a photolithographically patterned surface followedby two selective steps: selective SAM formation and AS-ALD. The SAM

Figure 9.6 The schematic drawings for fabrication process of SAM patterns by usingphotolithography: (a) after SAM coating on a substrate, (b) exposure of SAM to light through themask, (c) removal of SAM exposed, and (d) AS-ALD thin film formation on the SAM-free region.

9.3 Patterning j209

Page 18: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

molecules have inherently selective adsorption behavior depending on their headgroups and the surface termination, as mentioned previously in Section 9.2.3. Byutilizing this property of the SAMs, the patterning step can be simplifiedthrough the use of predefined substrate patterns. Chen and Bent have used thisapproach with a prepatterned substrate combined with selective SAM formationto carry out AS-ALD [49]. They reported both positive and negative patterningusing this concept as shown in Figure 9.8. The starting substrate was a siliconwafer with a pattern alternating between thermally deposited SiO2 and H-termi-nated bare silicon. After dipping the substrate in ODTS solution, ODTS SAMsformed only on the SiO2 regions, allowing a patterned ODTS substrate to beobtained without additional patterning. Similarly, exposure to 1-octadeceneformed a monolayer resist selectively on the H-terminated regions of thesurfaces through a hydrosilylation reaction. Subsequent introduction of the SAMpatterned surfaces into an ALD reactor achieved selective deposition of Pt andHfO2 [49].

Figure 9.7 (a) Schematic outline of theprocedure to fabricate patterned thin films byusing photocatalytic lithography and ALD. (b)Three-dimensional AFM images (5 mm� 5 mm)and cross sections for the patterned ZrO2 thin

films fabricated by using photocatalyticlithography and ALD. Reprinted withpermission from Ref. [46]. Copyright 2004, theAmerican Chemical Society.

210j 9 Nanopatterning by Area-Selective Atomic Layer Deposition

Page 19: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

Until now, most AS-ALD processes using a polymer have employed photoli-thography to make patterns. In fact, PMMA, which is used as a depositiondeactivator for AS-ALD, has been utilized as a PR for many applications, such asSi nanowire transistor fabrications [120–122]. Sinha et al. investigated TiO2 AS-ALDwith PMMApatterns defined by photolithography [77, 78]. In their subsequentpaper, the inherently inactive surface of poly(tert-butyl methacrylate) (PtBMA) wasselectively converted into activated OH termination by exposure of UV [79]. TiO2

patterns were formed on the activated regions, and then they were used for anetching mask to transfer the patterns to the original substrate [79]. Farm et al.showed the feasibility of polymer-based, photolithographic AS-ALD with variousmaterial systems, such as Pt, Ru, Ir, Al2O3, and TiO2 [80, 81]. They observed nodeposition on PMMA and PVP with Pt, Ru, Ir, and TiO2, but deposition did occurwith Al2O3 [80, 81]. For Al2O3, however, a liftoff process could be used for makingAl2O3 patterns because of the easier removal process of the polymer comparedto SAMs. Recently, photolithography-assisted patterning with polymer wassuccessfully applied to large-area processing [54], in which PMMA patterns weredefined by photolithography, and then transferred into ZnO patterns for displaydevice fabrication by spatial ALD.

Figure 9.8 Schematic illustration of two area-selective ALD schemes possible through selectivesurface modifications. Reproduced with permission from Ref. [49]. Copyright 2006, Wiley-VCHVerlag GmbH.

9.3 Patterning j211

Page 20: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

In another study, Park and Parsons directly applied toward AS-ALD of Rh astandard PR used for the photolithography process in Si device fabrication [82]. Aftertypical photolithography to pattern the PR, Rh ALD was carried out on the substrate.TheRhfilmswere found to selectively deposit only on thePR-free region. In addition,the researchers observed the ability ofHMDS,which is generally used as an adhesionpromoter for PR, to block Rh film deposition by ALD. The prevention of Rhnucleation on the polymers is attributed to their hydrophobicity, because typicalPRs and HMDS are hydrophobic in nature. Similarly, another group also fabricatedRu patterns by AS-ALD using PR and investigated MOS characteristics with theresulting Ru metal gate [84].

9.3.4Nanotemplating

Nanotemplates are a type of scaffold with nanoscale features used to fabricatenanostructures. Generally, the nanotemplates are fabricated by self-assembly pro-cesses and they include ordered patterns of various size and shape. Because of theself-assembly process, the size of nanotemplate patterns is easily controlled down totens of nanometers, a length scale that is difficult to fabricate by conventionalpatterning tools. Therefore, nanotemplates such as anodic aluminum oxide (AAO)and diblock copolymers have been intensively investigated for various applications[2, 3, 123, 124]. Combined with nanotemplates, AS-ALD provides another way tofabricate nanostructures.

Shin and coworkers reported a method for fabricating oxide nanotubes using apolycarbonate (PC) nanotemplate and AS-ALD [110, 125]. The process scheme ispresented in Figure 9.9. ODTS was transferred onto the top of the PCmembrane viamCP (see Section 9.3.2). Because the mCP stamp contacts only the top of the PC, onlythe top of the structure, and not the inside of the holes, is deactivated by ODTSmolecules. Subsequently, oxide films are deposited inside the unmodified holesduring an ALD process. After removal of the PC template, oxide nanotubes arefabricated as a replica of the hole structure. Because high conformality and unifor-mity are inherent properties of ALD, oxide films are deposited on the whole templateif the top of the structure is not deactivated by the SAM. From the same group, TiO2,ZrO2, and ZnO nanotube fabrication was also reported using another nanotemplate,AAO [111].

Another widely used self-assembly template consists of nanospheres. A mono-layer of nanospheres, made of polystyrene (PS) or silica, can be easily formed on aflat surface with relatively large-scale uniformity, which provides an economicalway of patterning [126]. Recently, a new patterning method for SAM layers usingnanospheres was reported [43, 44]. The silica nanospheres, which have a diameterof 200–500 nm, are spontaneously arranged into a close-packed structure during aliquid-phase coating process [127, 128]. In this scheme, the substrate containingthe well-arranged silica nanospheres is then dipped into a solution of ODTS. The

212j 9 Nanopatterning by Area-Selective Atomic Layer Deposition

Page 21: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

surface is coated by ODTS everywhere except the regions contacting the nano-spheres, resulting in the formation of a periodically uncoated pattern several tens ofnanometer in size. After removal of nanospheres, TiO2 nanohemispheres withvarious sizes were formed on this substrate by using AS-ALD of TiO2 [43, 44]. Insubsequent research, this patterning process was extended to 3D nanostructureformation on the nanospheres. After the formation of a multilayer of nanospheres,the SAM was coated on the uncontacted region between nanospheres. SubsequentAS-ALD of TiO2 formed the periodic points on the nanospheres as shown inFigure 9.10.

9.3.5Scanning Probe Microscopy

Nanolithography by scanning probe microscopy (SPM) has also been explored withAS-ALD. Figure 9.11 shows a schematic drawing for this concept. After forming aSAMcoating on a substrate, the SPM tip sequentially changes the surface property byapplying an external field, similar to drawing. When the ALD process proceeds onthis substrate, the film is selectively deposited due to differences between intact andchanged regions. Recently, Lee et al. demonstrated AS-ALD of ZrO2 through SPMlithography [47, 59]. An ODTS SAM was removed followed by the formation of SiO2

Figure 9.9 Schematic drawings of the nanotube fabrication process using AS-ALD andnanotemplates.

9.3 Patterning j213

Page 22: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

on the regions where an electric field was applied via atomic forcemicroscopy (AFM)tip. After the SiO2 patterns were removed by HF etching, subsequent ALD led toselective deposition on the SAM-free regions.

In other work, the PMMA patterning was carried out by using thermal writing byAFM. After coating PMMA on a substrate, the AFM tip locally removed PMMA in apattern by applying a bias. PMMAwas partially removedby localizedheating from theAFM tip along the pattern lines and TiO2 was selectively deposited on the PMMA-depleted region [86].

Figure 9.10 SEM micrographs of asymmetriccolloidal clusters (ACCs) consisting of titania/silica. (a) An experimental result is shown asproof of concept; a monolayer of ACCs wasprepared on a planar surface from the silicacolloidal crystals with double layers in which theupper layers of silica were removed before thesite-selective growth of titania. (b) ACCs in acertain region are more than two layers; thepieces having one or two titania dots result fromthe insecure contacts with the upper silica

layers. Panel (c) is from the (111) surface ofthe underlying colloidal films; panel (d) is fromthe (100) facet. The solid bright grey circles inthe insets indicate the underlying silica colloids,and the dotted white lines indicate the upperlayer detached to open the nucleation sites; thesolid dark grey circles represent titania dotsselectively grown on the oxide openings of thesilica spheres. Reprinted with permission fromRef. [43]. Copyright 2007, the AmericanChemical Society.

214j 9 Nanopatterning by Area-Selective Atomic Layer Deposition

Page 23: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

9.4Applications of AS-ALD

Microelectronics device fabrication has been one of the major research fields forALD. For example, Ru, HfO2, and TaN have been intensively investigated by ALD formetal gate, high-k, and diffusion barrier materials, respectively [1, 4]. As an appli-cation for device fabrication, Parson�s group demonstrated the fabrication andcharacterization of metal-insulator-metal capacitors using AS-ALD of Ru by RuCp2andO2, utilizingODTSSAMs patterned on SiO2 andHfO2 surfaces [58]. The Ru gateelectrode pattern was defined by AS-ALD with PDMS stamping without the need foran etching process.

Bent�s group has actively investigated AS-ALD for energy applications, especiallyfor fuel cell electrodes. They demonstrated selective deposition of Pt films on a YSZsubstrate, which is an electrolyte for solid oxide fuel cells (SOFCs), and successfullyfabricated Pt patterns without etching by using AS-ALD and mCP [48, 56]. In asubsequent report, they showed the feasibility of AS-ALD Pt patterns as a currentcollector [57]. By using AS-ALD and mCP, Pt grid patterns with high lateralresolution were fabricated without an etching process, and the Pt grid currentcollector showed better fuel cell performance than did blanket Pt films of the sameloading.

Figure 9.11 Schematic drawings for SAM patterning by using SPM.

9.4 Applications of AS-ALD j215

Page 24: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

ZnO is one themost investigatedmaterials for transparent conducting electrodes,and many studies on ZnO ALD have been reported. Levy et al. developed roll-to-roll-type spatial ALD and applied it to AS-ALD of ZnO with three deactivation agentsincluding PMMA, ODTS, and polystyrene [54]. PMMA and ODTS showed similardeactivation quality for ZnO ALD while PS did not block the deposition even withlarger thickness than the others. The importance of this research is that it showed thefeasibility for AS-ALD to be applied to large-area and high-speed deposition pro-cesses, especially for display device fabrication.

9.5Current Challenges

Although AS-ALD has been intensively studied and applied to various ALD systems,there are still several challenges. One of the most frequently reported challenges isdegradation of the SAM or polymer during the ALD process [50, 56, 60]. Generally,the film deposition by ALD is performed at a temperature range from 100 to 400 �C[1, 4]. Therefore, the SAM or polymer should be stable and maintain its deactivatingproperties toward ALD at those temperatures. However, SAMs, since they are basedon organic molecules, have relatively low thermal stability [110, 111, 125]. Althoughno degradation of SAMs was reported up to 400 �C under vacuum conditions in aprevious report [129], the loss of the ability to block film deposition above a certainnumber of ALD cycles was noted in several reports, and the degradation was found tobe a time-dependent process [56, 60, 130]. For example, the deposition of Pt filmswasfound to be significantly deactivated up to 400 cycles at a substrate temperature of300 �C; above 400 cycles, the Pt films began to deposit even on ODTS-coated surfacedue to thermal degradation [56]. Furthermore, the ALD process employs variousreactants, such as gases and plasma. Meanwhile, the reaction between SAMs andALD reactants affects the degradation of SAMs, as the SAM molecules at thesubstrate encounter various gases and chemical species during each ALD cycle.Recently, Lee et al. reported the degradation of ODTS SAMs byNH3 plasma reactantsduring plasma-enhanced ALD (PE-ALD) of Co [61]. In addition, there are manyreports on the degradation of SAMs by plasma, indicating that the PE-ALD processcannot be easily applied to AS-ALD [131, 132].

Another important challenge is the size effect of the patterns. The deactivation ofthin film deposition by SAMs takes place by inhibition of the nucleation on the SAM-coated regions of the substrate. As the thickness of the deposited film on the SAM-free region grows to be above the height of the SAM, the individual patterns become a3D structure and not a planar 2D film anymore. Consequently, new surfaces of thefilm materials will begin to form around the pattern. Because the ALD growth isbased on the surface reaction and isotropically occurs on the entire surface, the lateralsize of the pattern will increase by this process (see Figure 9.12). This situationbecomes significant when the size of the pattern is smaller than thefilm thickness. Ina previous report, although a small size of TiO2 patterns under 100 nmwas achievedfrom AS-ALD and colloidal particles, a widening of the TiO2 patterns was observed

216j 9 Nanopatterning by Area-Selective Atomic Layer Deposition

Page 25: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

due to the reason mentioned above [43]. To overcome this challenge, topographicalconfinement as well as surface modification by SAMs was proposed for AS-ALD inthe nanoscale regime as shown in Figure 9.12b [83]. In the report, an insect�s wing,which had ordered hole patterns with 60 nm diameter and 250 nm height and aninherent coating of waxy material, was employed for nanopatterns. The authorsshowed that they could use biomimetic nanopatternswith lateral confinement aswellas deactivated surfaces without additional processes. The ZnO was grown by ALDinside the holes confined by topographical feature and surface modification withoutlateral widening.

AlthoughAS-ALDcanminimize and change conventional patterningprocess steps,it still requires a patterning process. Therefore, future applications of AS-ALD dependon how patterns are fabricated effectively. The site-specific adsorption property ofSAMs gives us the opportunity for AS-ALD to reuse patterns defined during previoussteps. For example, silicide fabrication step for metal-oxide-semiconductorfield effecttransistor device fabrication can be simplified by using AS-ALDwith a SAMadsorbedonly on the SiO2 surface. A typical silicide fabrication process consists of three stepsincluding metal deposition, annealing, and removal of unreacted metal as shown inFigure 9.13a. If a SAMwas used to deactivate the SiO2 spacer region, themetal etchingprocess would not be needed anymore and the original patterns could be reused. Thisconcept can be successfully adapted to nanoscale device fabrication, such as Sinanowire transistors. By using a pattern of insulating material that surrounds partof the nanowires, selective adsorption of a deactivating agent on the insulator followedby AS-ALD could be used to define the metal contact, which would reduce patterningsteps including pattern alignment, as shown in Figure 9.13b. In addition, vapor-phasedeposition of the SAM could be an advantage for this. Moreover, by combining thelarge-area uniformity of ALD, AS-ALD can be effective in a large-area process, such asin the fabrication of display devices (see Figure 9.13c). Because the AS-ALD process is

Figure 9.12 (a) Conventional area-selectiveALD in which the substrate is planar andcontains patterns of self-assembledmonolayers. With increasing number ofdeposition cycles, sideways film growth alsooccurs originating from adsorption of ALDreactants on the previously deposited ALD film.

(b) Blocking the lateral ALD growthindependent of deposited film thickness bycombining surface modification andtopographical features. Reprinted withpermission from Ref. [83]. Copyright 2008, theAmerican Chemical Society.

9.5 Current Challenges j217

Page 26: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

an etch-free patterning process, it has the potential to impact device fabrication for anumber of emerging applications.

Acknowledgment

This work was supported by theUSDepartment of EnergyHydrogen, Fuel Cells, andInfrastructure Program through the National Renewable Energy Laboratory underContract No. DE-AC36-08-GO28308.

References

Figure 9.13 Schematic drawings for AS-ALD applications on (a) silicide fabrication, (b) nanowiredevice fabrication, and (c) large-area process.

1 Kim, H. (2003) Atomic layer depositionof metal and nitride thin films: currentresearch efforts and applications forsemiconductor device processing. J. Vac.Sci. Technol. B, 21 (6), 2231.

2 George, S.M. (2009) Atomic layerdeposition: an overview. Chem. Rev.,110 (1), 111.

3 Kim, H., Lee, H.-B.-R., and Maeng, W.J.(2009) Applications of atomic layer

218j 9 Nanopatterning by Area-Selective Atomic Layer Deposition

Page 27: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

deposition to nanofabrication andemerging nanodevices. Thin Solid Films,517 (8), 2563.

4 Leskel€a, M. and Ritala, M. (2003) Atomiclayer deposition chemistry: recentdevelopments and futurechallenges. Angew. Chem., Int. Ed.,42 (45), 5548.

5 Jeon, N.L., Lin, W., Erhardt, M.K.,Girolami, G.S., and Nuzzo, R.G. (1997)Selective chemical vapor deposition ofplatinum and palladium directed bymonolayers patterned usingmicrocontact printing. Langmuir, 13 (14),3833.

6 Ulman, A. (1996) Formation andstructure of self-assembled monolayers.Chem. Rev., 96 (4), 1533.

7 Schreiber, F. (2000) Structure and growthof self-assembling monolayers. Prog.Surf. Sci., 65 (5–8), 151.

8 Allara, D.L. and Nuzzo, R.G. (1985)Spontaneously organized molecularassemblies. 2. Quantitative infraredspectroscopic determination ofequilibrium structures of solution-adsorbed n-alkanoic acids on anoxidized aluminum surface. Langmuir,1 (1), 52.

9 Allara, D.L. and Nuzzo, R.G. (1985)Spontaneously organized molecularassemblies. 1. Formation, dynamics, andphysical properties of n-alkanoic acidsadsorbed from solution on anoxidized aluminum surface. Langmuir,1 (1), 45.

10 Schlotter, N.E., Porter, M.D., Bright, T.B.,and Allara, D.L. (1986) Formation andstructure of a spontaneously adsorbedmonolayer of arachidic on silver. Chem.Phys. Lett., 132 (1), 93.

11 Samant,M.G., Brown, C.A., andGordon,J.G. (1993) An epitaxial organic film. Theself-assembled monolayer of docosanoicacid on silver(111). Langmuir, 9 (4), 1082.

12 Tao, Y.T. (1993) Structural comparison ofself-assembled monolayers of n-alkanoicacids on the surfaces of silver, copper, andaluminum. J. Am. Chem. Soc., 115 (10),4350.

13 Poirier, G.E. and Pylant, E.D. (1996) Theself-assemblymechanism of alkanethiolson Au(111). Science, 272 (5265), 1145.

14 Love, J.C., Estroff, L.A., Kriebel, J.K.,Nuzzo, R.G., and Whitesides, G.M.(2005) Self-assembled monolayers ofthiolates on metals as a form ofnanotechnology. Chem. Rev., 105 (4),1103.

15 Laibinis, P.E., Whitesides, G.M., Allara,D.L., Tao, Y.T., Parikh, A.N., and Nuzzo,R.G. (1991) Comparison of the structuresand wetting properties of self-assembledmonolayers of n-alkanethiols on thecoinage metal surfaces, copper, silver,and gold. J. Am. Chem. Soc., 113 (19),7152.

16 Walczak, M.M., Chung, C., Stole, S.M.,Widrig, C.A., and Porter, M.D. (1991)Structure and interfacial properties ofspontaneously adsorbed n-alkanethiolatemonolayers on evaporated silversurfaces. J. Am. Chem. Soc., 113 (7), 2370.

17 Laibinis, P.E. and Whitesides, G.M.(1992) v-Terminated alkanethiolatemonolayers on surfaces of copper, silver,and gold have similar wettabilities. J. Am.Chem. Soc., 114 (6), 1990.

18 Laibinis, P.E. and Whitesides, G.M.(1992) Self-assembled monolayers ofn-alkanethiolates on copper are barrierfilms that protect the metal againstoxidation by air. J. Am. Chem. Soc.,114 (23), 9022.

19 Liu, Q. and Xu, Z. (1995) Self-assembledmonolayer coatings on nanosizedmagnetic particles using 16-mercaptohexadecanoic acid. Langmuir,11 (12), 4617.

20 Sheen, C.W., Shi, J.X., Maartensson, J.,Parikh, A.N., and Allara, D.L. (1992) Anew class of organized self-assembledmonolayers: alkane thiols on galliumarsenide(100). J. Am. Chem. Soc., 114 (4),1514.

21 Gu, Y., Lin, Z., Butera, R.A.,Smentkowski, V.S., and Waldeck, D.H.(1995) Preparation of self-assembledmonolayers on InP. Langmuir, 11 (6),1849.

22 Ardalan, P., Sun, Y., Pianetta, P.,Musgrave, C.B., and Bent, S.F. (2010)Reaction mechanism, bonding, andthermal stability of 1-alkanethiols self-assembled on halogenated Ge surfaces.Langmuir, 26 (11), 8419.

References j219

Page 28: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

23 van Zanten, T.S., Lammertink, R.G.H.,Borneman, Z., Nijdam, W., van Rijn,C.J.M., Wessling, M., and Geerken, M.J.(2004) Chemical and thermal stabilityof alkylsilane based coatings formembrane emulsification. Adv. Eng.Mater., 6 (9), 749.

24 Allara, D.L., Parikh, A.N., and Rondelez,F. (1995) Evidence for a unique chainorganization in long-chain silanemonolayers deposited on two widelydifferent solid substrates. Langmuir,11 (7), 2357.

25 Silberzan, P., Leger, L., Ausserre, D., andBenattar, J.J. (1991) Silanation of silicasurfaces: a new method of constructingpure or mixed monolayers. Langmuir,7 (8), 1647.

26 Sagiv, J. (1980) Organized monolayers byadsorption. 1. Formation and structure ofoleophobic mixed monolayers on solidsurfaces. J. Am. Chem. Soc., 102 (1), 92.

27 Gun, J., Iscovici, R., andSagiv, J. (1984)Onthe formation and structure of self-assemblingmonolayers. II.Acomparativestudy of Langmuir–Blodgett and adsorbedfilms using ellipsometry and IRreflection–absorption spectroscopy. J.Colloid Interface Sci., 101 (1), 201.

28 Tillman, N., Ulman, A., Schildkraut, J.S.,and Penner, T.L. (1988) Incorporation ofphenoxy groups in self-assembledmonolayers of trichlorosilane derivatives.Effects on film thickness, wettability, andmolecular orientation. J. Am. Chem. Soc.,110 (18), 6136.

29 Mathauer, K. and Frank, C.W. (1993)Naphthalene chromophore tethered inthe constrained environment of a self-assembled monolayer. Langmuir, 9 (11),3002.

30 Brandriss, S. and Margel, S. (1993)Synthesis and characterization of self-assembled hydrophobic monolayercoatings on silica colloids. Langmuir,9 (5), 1232.

31 Finklea, H.O., Robinson, L.R.,Blackburn, A., Richter, B., Allara, D., andBright, T. (1986) Formation of anorganized monolayer by solutionadsorption of octadecyltrichlorosilane ongold: electrochemical properties andstructural characterization. Langmuir,2 (2), 239.

32 Linford, M.R. and Chidsey, C.E.D. (1993)Alkyl monolayers covalently bonded tosilicon surfaces. J. Am. Chem. Soc.,115 (26), 12631.

33 Linford, M.R., Fenter, P., Eisenberger,P.M., and Chidsey, C.E.D. (1995) Alkylmonolayers on silicon prepared from 1-alkenes and hydrogen-terminatedsilicon. J. Am. Chem. Soc., 117 (11),3145.

34 Park, M.H., Jang, Y.J., Sung-Suh, H.M.,and Sung, M.M. (2004) Selective atomiclayer deposition of titanium oxide onpatterned self-assembled monolayersformed by microcontact printing.Langmuir, 20 (6), 2257.

35 Akkerman, H.B., Blom, P.W.M., deLeeuw, D.M., and de Boer, B. (2006)Towardsmolecular electronicswith large-area molecular junctions. Nature,441 (7089), 69.

36 Zamborini, F.P. and Crooks, R.M. (1998)Corrosion passivation of gold by n-alkanethiol self-assembled monolayers:effect of chain length and end group.Langmuir, 14 (12), 3279.

37 Seo, E.K., Lee, J.W., Sung-Suh,H.M., andSung, M.M. (2004) Atomic layerdeposition of titanium oxide on self-assembled-monolayer-coated gold.Chem. Mater., 16 (10), 1878.

38 Ardalan, P., Musgrave, C., and Bent, S.(2009) Effects of surfacefunctionalization on titanium dioxideatomic layer deposition on Ge surfaces.ECS Trans., 25 (4), 131.

39 Chen, R., Kim, H., McIntyre, P.C., andBent, S.F. (2005) Investigation of self-assembled monolayer resists forhafnium dioxide atomic layer deposition.Chem. Mater., 17 (3), 536.

40 Ghosal, S., Baumann, T.F., King, J.S.,Kucheyev, S.O., Wang, Y.M., Worsley,M.A., Biener, J., Bent, S.F., and Hamza,A.V. (2009) Controlling atomic layerdeposition of TiO2 in aerogels throughsurface functionalization. Chem. Mater.,21 (9), 1989.

41 Lee, J.P., Jang, Y.J., and Sung, M.M.(2003) Atomic layer deposition of TiO2

thin films on mixed self-assembledmonolayers studied as a function ofsurface free energy. Adv. Funct. Mater.,13 (11), 873.

220j 9 Nanopatterning by Area-Selective Atomic Layer Deposition

Page 29: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

42 Hoyas, A.M., Schuhmacher, J., Whelan,C.M., Landaluce, T.F., Vanhaeren, D.,andMaex, K. (2006) Using scaling laws tounderstand the growth mechanism ofatomic layer deposited WNxCy films onmethyl-terminated surfaces. J. Appl.Phys., 100 (11), 114903.

43 Bae, C., Moon, J., Shin, H., Kim, J., andSung, M.M. (2007) Fabrication ofmonodisperse asymmetric colloidalclusters by using contact arealithography (CAL). J. Am. Chem. Soc.,129 (46), 14232.

44 Bae, C., Shin, H., Moon, J., and Sung,M.M. (2006) Contact area lithography(CAL): a new approach to directformation of nanometric chemicalpatterns. Chem. Mater., 18 (5), 1085.

45 Chen, R., Kim, H., McIntyre, P.C., andBent, S.F. (2004) Self-assembledmonolayer resist for atomic layerdeposition of HfO and ZrO high-k gatedielectrics. Appl. Phys. Lett., 84, 4017.

46 Lee, J.P. and Sung, M.M. (2004) A newpatterning method using photocatalyticlithography and selective atomiclayer deposition. J. Am. Chem. Soc.,126 (1), 28.

47 Lee, W. and Prinz, F.B. (2009) Area-selective atomic layer deposition usingself-assembled monolayer and scanningprobe lithography. J. Electrochem. Soc.,156 (9), G125.

48 Jiang, X.R., Chen, R., and Bent, S.F.(2007) Spatial control over atomic layerdeposition using microcontact-printedresists. Surf. Coat. Technol., 201 (22–23),8799.

49 Chen, R. and Bent, S.F. (2006) Chemistryfor positive pattern transfer using area-selective atomic layer deposition. Adv.Mater., 18 (8), 1086.

50 Hong, J., Porter, D.W., Sreenivasan, R.,McIntyre, P.C., and Bent, S.F. (2007)ALD resist formed by vapor-depositedself-assembled monolayers. Langmuir,23 (3), 1160.

51 Chen, R., Kim,H.,McIntyre, P.C., Porter,D.W., and Bent, S.F. (2005) Achievingarea-selective atomic layer deposition onpatterned substrates by selective surfacemodification. Appl. Phys. Lett., 86 (19),191910.

52 Xu, Y. and Musgrave, C.B. (2004) A DFTstudy of theAl2O3 atomic layer depositionon SAMs: effect of SAM termination.Chem. Mater., 16 (4), 646.

53 Yan, M., Koide, Y., Babcock, J.R.,Markworth, P.R., Belot, J.A., Marks, T.J.,and Chang, R.P.H. (2001) Selective-areaatomic layer epitaxy growth of ZnOfeatures on soft lithography-patternedsubstrates. Appl. Phys. Lett., 79 (11),1709.

54 Levy,D.H.,Nelson, S.F., andFreeman,D.(2009)Oxide electronics by spatial atomiclayer deposition. J. Display Technol.,5 (12), 484.

55 Dube, A., Sharma, M., Ma, P.F., Ercius,P.A., Muller, D.A., and Engstrom, J.R.(2007) Effects of interfacial organic layerson nucleation, growth, andmorphological evolution in atomic layerthin film deposition. J. Phys. Chem. C,111 (29), 11045.

56 Jiang, X. and Bent, S.F. (2007) Area-selective atomic layer deposition ofplatinum on YSZ substrates usingmicrocontact printed SAMs. J.Electrochem. Soc., 154 (12), D648.

57 Jiang, X., Huang, H., Prinz, F.B., andBent, S.F. (2008) Application of atomiclayer depositionof platinumto solid oxidefuel cells. Chem. Mater., 20 (12), 3897.

58 Park, K.J., Doub, J.M., Gougousi, T., andParsons, G.N. (2005) Microcontactpatterning of ruthenium gate electrodesby selective area atomic layer deposition.Appl. Phys. Lett., 86, 051903.

59 Lee, W., Dasgupta, N.P., Trejo, O., Lee,J.R., Hwang, J., Usui, T., and Prinz, F.B.(2010) Area-selective atomic layerdeposition of lead sulfide: nanoscalepatterning and DFT simulations.Langmuir, 26 (9), 6845.

60 Lee, H.B.R., Kim, W.H., Lee, J.W., Kim,J.M., Heo, K., Hwang, I.C., Park, Y.,Hong, S., and Kim, H. (2010) Highquality area-selective atomic layerdeposition Co using ammonia gas as areactant. J. Electrochem. Soc., 157 (1), D10.

61 Lee, H.B.R., Kim, J., Kim,H., Kim,W.H.,Lee, J.W., and Hwang, I. (2010)Degradation of the deposition blockinglayer during area-selective plasma-enhanced atomic layer deposition ofcobalt. J. Korean Phys. Soc., 56 (1), 104.

References j221

Page 30: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

62 Farm, E., Kernell, M., Ritala, M., andLeskel€a, M. (2006) Self-assembledoctadecyltrimethoxysilane monolayersenabling selective-area atomic layerdeposition of iridium. Chem. VaporDepos., 12 (7), 415.

63 Dube, A., Sharma, M., Ma, P.F., andEngstrom, J.R. (2006) Effects ofinterfacial organic layers on thinfilm nucleation in atomic layerdeposition. Appl. Phys. Lett., 89 (16),164108.

64 Chen, R. and Bent, S.F. (2006) Highlystable monolayer resists for atomic layerdeposition on germanium and silicon.Chem. Mater., 18 (16), 3733.

65 ter Maat, J., Regeling, R., Yang, M.,Mullings, M.N., Bent, S.F., and Zuilhof,H. (2009) Photochemical covalentattachment of alkene-derivedmonolayersonto hydroxyl-terminated silica.Langmuir, 25 (19), 11592.

66 Li, M., Dai, M., and Chabal, Y.J. (2009)Atomic layer deposition of aluminumoxide on carboxylic acid-terminated self-assembled monolayers. Langmuir, 25 (4),1911.

67 Haran,M., Engstrom, J.R., andClancy, P.(2006) Ab initio calculations of thereaction mechanisms for metal-nitridedeposition from organo-metallicprecursors onto functionalized self-assembled monolayers. J. Am. Chem.Soc., 128 (3), 836.

68 Chen, R., Kim,H.,McIntyre, P.C., Porter,D.W., and Bent, S.F. (2005) Achievingarea-selective atomic layer deposition onpatterned substrates by selective surfacemodification. Appl. Phys. Lett., 86 (19),191910.

69 Jiang, X. and Bent, S.F. (2009) Area-selective ALD with soft lithographicmethods: using self-assembledmonolayers to direct film deposition. J.Phys. Chem. C, 113 (41), 17613.

70 Mirji, S.A. (2006)Octadecyltrichlorosilane adsorptionkinetics on Si (100)/SiO2 surface: contactangle, AFM, FTIR andXPS analysis. Surf.Interface Anal., 38 (3), 158.

71 Dubois, L.H., Zegarski, B.R., and Nuzzo,R.G. (1990) Fundamental studies ofmicroscopic wetting on organic surfaces.2. Interaction of secondary adsorbates

with chemically textured organicmonolayers. J. Am. Chem. Soc., 112 (2),570.

72 Drelich, J., Wilbur, J.L., Miller, J.D., andWhitesides, G.M. (1996) Contact anglesfor liquid drops at amodel heterogeneoussurface consisting of alternating andparallel hydrophobic/hydrophilic strips.Langmuir, 12 (7), 1913.

73 Wang, Y. and Lieberman, M. (2003)Growth of ultrasmoothoctadecyltrichlorosilane self-assembledmonolayers on SiO2. Langmuir, 19 (4),1159.

74 Jaeger, R. (1988) Introduction toMicroelectronic Fabrication, Addison-Wesley, Reading, MA.

75 Taur, Y. and Ning, T. (1998) Fundamentalsof Modern VLSI Devices, CambridgeUniversity Press, Cambridge.

76 Sinha, A., Hess, D.W., and Henderson,C.L. (2007) Transport behavior of atomiclayer deposition precursors throughpolymer masking layers: influence onarea selective atomic layer deposition. J.Vac. Sci. Technol. B, 25 (5), 1721.

77 Sinha, A., Hess, D.W., and Henderson,C.L. (2006) Area-selective ALD oftitanium dioxide using lithographicallydefined poly(methyl methacrylate) films.J. Electrochem. Soc., 153 (5), G465.

78 Sinha, A., Hess, D.W., and Henderson,C.L. (2006) Area selective atomic layerdeposition of titanium dioxide: effect ofprecursor chemistry. J. Vac. Sci. Technol.B, 24 (6), 2523.

79 Sinha, A., Hess, D.W., and Henderson,C.L. (2006)A top surface imagingmethodusing area selective ALD on chemicallyamplified polymer photoresist films.Electrochem. Solid-State Lett., 9, G330.

80 Farm, E., Kemell, M., Santala, E., Ritala,M., and Leskel€a, M. (2010) Selective-areaatomic layer deposition using poly(vinylpyrrolidone) as a passivation layer. J.Electrochem. Soc., 157 (1), K10.

81 F€arm, E., Kemell, M., Ritala, M., andLeskel€a, M. (2008) Selective-area atomiclayer deposition using poly(methylmethacrylate) films as mask layers. J.Phys. Chem. C, 112 (40), 15791.

82 Park, K.J. and Parsons, G.N. (2006)Selective area atomic layer deposition ofrhodium and effective work function

222j 9 Nanopatterning by Area-Selective Atomic Layer Deposition

Page 31: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

characterization in capacitor structures.Appl. Phys. Lett., 89 (4), 043111.

83 Ras, R.H.A., Sahramo, E., Malm, J.,Raula, J., and Karppinen, M. (2008)Blocking the lateral film growth at thenanoscale in area-selective atomic layerdeposition. J. Am. Chem. Soc., 130 (34),11252.

84 Dey, S.K., Goswami, J., Gu, D., deWaard,H.,Marcus, S., andWerkhoven, C. (2004)Ruthenium films by digital chemicalvapor deposition: selectivity,nanostructure, and work function. Appl.Phys. Lett., 84 (9), 1606.

85 Mullings, M.N., Lee, H.-B.-R., Marchack,N., Jiang, X., Chen, Z., Gorlin, Y., Lin, K.-P., and Bent, S.F. (2010) Area selectiveatomic layer deposition by microcontactprinting with a water-soluble polymer. J.Electrochem. Soc., 157 (12), D600.

86 Hua, Y.M., King, W.P., and Henderson,C.L. (2008) Nanopatterning materialsusing area selective atomic layerdeposition in conjunction withthermochemical surface modification viaheatedAFMcantilever probe lithography.Microelectron. Eng., 85 (5–6), 934.

87 Carlsson, J.-O. (1990) Selective vapor-phase deposition on patternedsubstrates. Crit. Rev. Solid State Mater.Sci., 16 (3), 161.

88 Gates, S.M. (1996) Surface chemistry inthe chemical vapor deposition ofelectronic materials. Chem. Rev., 96 (4),1519.

89 Tsubouchi, K. and Masu, K. (1993) Area-selective CVD ofmetals. Thin Solid Films,228 (1–2), 312.

90 Yokoyama, S., Ikeda, N., Kajikawa, K.,and Nakashima, Y. (1998) Atomic-layerselective deposition of silicon nitride onhydrogen-terminated Si surfaces. Appl.Surf. Sci., 130, 352.

91 Tao, Q., Jursich, G., and Takoudis, C.(2010) Selective atomic layer depositionof HfO2 on copper patterned siliconsubstrates. Appl. Phys. Lett., 96 (19),192105.

92 Green, M.L., Ho, M.Y., Busch, B., Wilk,G.D., Sorsch, T., Conard, T., Brijs, B.,Vandervorst, W., Raisanen, P.I., Muller,D., Bude, M., and Grazul, J. (2002)Nucleation and growth of atomic layer

deposited HfO2 gate dielectric layers onchemical oxide (Si–O–H) and thermaloxide (SiO2 or Si–O–N) underlayers. J.Appl. Phys., 92 (12), 7168.

93 Kim, J.-H., Kim, J.-Y., and Kang, S.-W.(2005) Film growthmodel of atomic layerdeposition for multicomponent thinfilms. J. Appl. Phys., 97 (9), 093505.

94 Green, M.L., Allen, A.J., Li, X., Wang, J.,Ilavsky, J., Delabie, A., Puurunen, R.L.,and Brijs, B. (2006) Nucleation of atomic-layer-deposited HfO2 films, andevolution of theirmicrostructure, studiedby grazing incidence small angle X-rayscattering using synchrotron radiation.Appl. Phys. Lett., 88 (3), 032907.

95 Yim, S.-S., Lee, D.-J., Kim, K.-S., Kim, S.-H., Yoon, T.-S., and Kim, K.-B. (2008)Nucleation kinetics of Ru on silicon oxideand silicon nitride surfaces deposited byatomic layer deposition. J. Appl. Phys.,103 (11), 113509.

96 Lim, J.-W., Park, H.-S., and Kang, S.-W.(2000) Analysis of a transient regionduring the initial stage of atomic layerdeposition. J. Appl. Phys., 88 (11), 6327.

97 Kim, H. and Rossnagel, S.M. (2002)Growth kinetics and initial stage growthduring plasma-enhanced Ti atomic layerdeposition. J. Vac. Sci. Technol. A, 20 (3),802.

98 Frank, M.M., Chabal, Y.J., Green, M.L.,Delabie, A., Brijs, B., Wilk, G.D., Ho,M.-Y., da Rosa, E.B.O., Baumvol, I.J.R.,and Stedile, F.C. (2003) Enhanced initialgrowth of atomic-layer-deposited metaloxides on hydrogen-terminated silicon.Appl. Phys. Lett., 83 (4), 740.

99 Puurunen, R.L. (2003) Growth per cyclein atomic layer deposition: a theoreticalmodel. Chem. Vapor Depos., 9 (5), 249.

100 Buriak, J.M. (2002) Organometallicchemistry on silicon and germaniumsurfaces. Chem. Rev., 102 (5), 1271.

101 Lopinski, G.P., Wayner, D.D.M., andWolkow, R.A. (2000) Self-directed growthof molecular nanostructures on silicon.Nature, 406 (6791), 48.

102 Mayer, T.M., de Boer, M.P., Shinn, N.D.,Clews, P.J., and Michalske, T.A. (2000)Chemical vapor deposition offluoroalkylsilane monolayer filmsfor adhesion control in

References j223

Page 32: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

microelectromechanical systems. J. Vac.Sci. Technol. B, 18 (5), 2433.

103 Mayer, T.M., Elam, J.W., George, S.M.,Kotula, P.G., and Goeke, R.S. (2003)Atomic-layer deposition of wear-resistantcoatings for microelectromechanicaldevices. Appl. Phys. Lett., 82 (17), 2883.

104 Ashurst, W.R., Carraro, C., andMaboudian, R. (2003) Vapor phase anti-stiction coatings for MEMS. IEEE Trans.Device Mater. Reliab., 3 (4), 173.

105 Jung, G.-Y., Li, Z., Wu, W., Chen, Y.,Olynick, D.L., Wang, S.-Y., Tong, W.M.,and Williams, R.S. (2005) Vapor-phaseself-assembled monolayer for improvedmold release in nanoimprint lithography.Langmuir, 21 (4), 1158.

106 Ashurst, W.R., Yau, C., Carraro, C., Lee,C., Kluth, G.J., Howe, R.T., andMaboudian, R. (2001) Alkene basedmonolayer films as anti-stiction coatingsfor polysilicon MEMS. Sens. Actuators A,91 (3), 239.

107 Ashurst, W.R., Yau, C., Carraro, C.,Maboudian, R., and Dugger, M.T. (2001)Dichlorodimethylsilane as an anti-stiction monolayer for MEMS: acomparison to theoctadecyltrichlorosilane self-assembledmonolayer. J. Microelectromech. Syst.,10 (1), 41.

108 Kumar, A., Biebuyck, H.A., andWhitesides, G.M. (1994) Patterning self-assembled monolayers: applications inmaterials science. Langmuir, 10 (5),1498.

109 Lee, B.H. and Sung, M.M. (2007)Selective atomic layer deposition of metaloxide thin films on patterned self-assembled monolayers formed bymicrocontact printing. J. Nanosci.Nanotechnol., 7 (11), 3758.

110 Shin, H.J., Jeong, D.K., Lee, J.G., Sung,M.M., and Kim, J.Y. (2004) Formation ofTiO2 and ZrO2 nanotubes using atomiclayer deposition with ultraprecise controlof the wall thickness. Adv. Mater., 16 (14),1197.

111 Bae, C.D., Kim, S.Y., Ahn, B.Y., Kim, J.Y.,Sung, M.M., and Shin, H.J. (2008)Template-directed gas-phase fabricationof oxide nanotubes. J. Mater. Chem.,18 (12), 1362.

112 Gates, B.D. (2005) Nanofabricationwith molds & stamps. Mater. Today,8 (2), 44.

113 Rogers, J.A. and Nuzzo, R.G. (2005)Recent progress in soft lithography.Mater. Today, 8 (2), 50.

114 Zhao, X.M. (1997) Soft lithographicmethods for nano-fabrication. J. Mater.Chem., 7 (7), 1069.

115 Xia, Y. and Whitesides, G.M. (1998) Softlithography. Annu. Rev. Mater. Sci., 28 (1),153.

116 Bietsch, A. and Michel, B. (2000)Conformal contact and pattern stability ofstamps used for soft lithography. J. Appl.Phys., 88 (7), 4310.

117 Michel, B., Bernard, A., Bietsch, A.,Delamarche, E., Geissler, M., Juncker, D.,Kind, H., Renault, J.P., Rothuizen, H.,Schmid, H., Schmidt-Winkel, P., Stutz,R., and Wolf, H. (2001) Printing meetslithography: soft approaches to high-resolution patterning. IBM J. Res. Dev.,45 (5), 697.

118 Childs, W.R. and Nuzzo, R.G. (2004)Patterning of thin-film microstructureson non-planar substrate surfaces usingdecal transfer lithography. Adv. Mater.,16 (15), 1323.

119 Lima, O., Tan, L., Goel, A., Negahban,M., and Li, Z. (2007) Creating micro-and nanostructures on tubular andspherical surfaces. J. Vac. Sci. Technol. B,25, 2412.

120 Xiang, J., Lu, W., Hu, Y., Wu, Y., Yan, H.,and Lieber, C.M. (2006) Ge/Si nanowireheterostructures as high-performancefield-effect transistors. Nature,441 (7092), 489.

121 Goldberger, J., Hochbaum, A.I., Fan, R.,and Yang, P. (2006) Silicon verticallyintegrated nanowire field effecttransistors. Nano Lett., 6 (5), 973.

122 Thelander, C., Agarwal, P., Brongersma,S., Eymery, J., Feiner, L.F., Forchel, A.,Scheffler, M., Riess, W., Ohlsson, B.J.,Gsele, U., and Samuelson, L. (2006)Nanowire-based one-dimensionalelectronics. Mater. Today, 9 (10), 28.

123 Steinhart, M. (2008) Supramolecularorganization of polymeric materials innanoporous hard templates. Adv. Polym.Sci., 220, 123.

224j 9 Nanopatterning by Area-Selective Atomic Layer Deposition

Page 33: Atomic Layer Deposition of Nanostructured Materials (PINNA:ALD NANOMATERIALS O-BK) || Nanopatterning by Area-Selective Atomic Layer Deposition

124 Van Gough, D., Juhl, A.T., and Braun,P.V. (2009) Programming structureinto 3D nanomaterials. Mater. Today,12 (6), 28.

125 Jeong, D., Lee, J., Shin, H., Lee, J., Kim, J.,and Sung, M. (2004) Synthesis of metal-oxide nanotubular structures by usingatomic layer depositiononnanotemplates.J. Korean Phys. Soc., 45 (5), 1249.

126 Hulteen, J.C. and Van Duyne, R.P. (1995)Nanosphere lithography: a materialsgeneral fabrication process for periodicparticle array surfaces. J. Vac. Sci. Technol.A, 13 (3), 1553.

127 Denkov, N.D., Ivanov, I.B., Kralchevsky,P.A., and Wasan, D.T. (1992) A possiblemechanism of stabilization of emulsionsby solid particles. J. Colloid Interface Sci.,150 (2), 589.

128 Stober, W., Berner, A., and Blaschke, R.(1969) Aerodynamic diameter ofaggregates of uniform spheres. J. ColloidInterface Sci., 29 (4), 710.

129 Zhuang, Y.X., Hansen, O., Knieling, T.,Wang, C., Rombach, P., Lang, W.,Benecke, W., Kehlenbeck, M., and

Koblitz, J. (2006) Thermal stabilityof vapor phase deposited self-assembled monolayers for MEMSanti-stiction. J. Micromech. Microeng.,16 (11), 2259.

130 Kulkarni, S.A., Mirji, S.A., Mandale,A.B., and Vijayamohanan, K.P. (2006)Thermal stability of self-assembledoctadecyltrichlorosilane monolayerson planar and curved silicasurfaces. Thin Solid Films, 496 (2),420.

131 Raiber, K., Terfort, A., Benndorf, C.,Krings, N., and Strehblow, H.-H.(2005) Removal of self-assembledmonolayers of alkanethiolates ongold by plasma cleaning. Surf. Sci.,595 (1–3), 56.

132 Tatoulian, M., Bouloussa, O., Moriere, F.,Arefi-Khonsari, F., Amouroux, J., andRondelez, F. (2004) Plasma surfacemodification of organic materials:comparison between polyethylene filmsand octadecyltrichlorosilane self-assembled monolayers. Langmuir,20 (24), 10481.

References j225