AsmL .

44
• AsmL https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and- online-course.html

Transcript of AsmL .

Page 1: AsmL .

• AsmL

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 2: AsmL .

Netherlands Economy

1 Examples include Unilever, Heineken, financial services (ING), chemicals (DSM, AKZO), petroleum refining (Shell), electronical machinery

(Philips, ASML), and car navigation (TomTom).

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 3: AsmL .

Aspect-oriented software development Adoption

1 ASML is a provider of lithography systems for the semiconductor industry. ASML uses an aspect-

oriented extension to C called Mirjam to modularize tracing and profiling

concerns.

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 4: AsmL .

Intel - Acquisitions

1 In July 2012, Intel Corporation agreed to buy 10 percent shares of ASML Holding NV for $2.1 billion and another $1 billon for 5

percent shares that need shareholder approval to fund relevant research and

development efforts, as part of a EUR3.3 billion ($4.1 billion) deal to accelerate the

development of 450-millimeter wafer technology and extreme ultra-violet

lithography by as much as two years.

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 5: AsmL .

Eindhoven University of Technology - Overview

1 The university is in an area where several companies active in

technology are doing their research, like Philips, ASML and DAF. The

university maintains close contacts with most of these companies.

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 6: AsmL .

Eindhoven University of Technology - Economic and research motor

1 These research institutes are a source of high-tech knowledge for high-tech companies in the area,

such as ASML, NXP and FEI

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 7: AsmL .

Interuniversity Microelectronics Centre - History

1 By 2008, imec employed 1,600 researchers and engineers.

Technology partners include Intel, Samsung, Panasonic, NVIDIA,

STMicroelectronics, NXP Semiconductors, GlobalFoundries, TSMC, Hynix, ASML Holding|ASML,

Xilinx, Altera, Cadence Design Systems, Qualcomm, Renesas,

Siltronic. In 2010, the center changed its name to imec.

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 8: AsmL .

SUNY Albany - College of Nanoscale Science and Engineering

1 More than 2,500 scientists, researchers, engineers, students, and faculty work on site at the NanoTech complex; these include representatives from such

corporate giants as IBM, AMD, SEMATECH, GLOBALFOUNDRIES, Vistec Lithography

[http://www.vistec-semi.com/] Toshiba, ASML Holding|ASML, Hermes Microvision Inc,KLA

Tencor,Applied Materials, Atotech [http://www1.atotech.com/start.php3?

cl_my_id=672657] Tokyo Electron [http://www.tel.com/eng/] and Novellus Systems.

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 9: AsmL .

College of Nanoscale Science and Engineering

1 More than 3,100 scientists, researchers, engineers, students, and faculty work on site at CNSE’s Albany NanoTech Complex, from companies including IBM, Intel, GlobalFoundries, SEMATECH,

Samsung, TSMC, Applied Materials, Tokyo Electron, ASML Holding|ASML,

and Lam Research.Murphy, Myatt

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 10: AsmL .

College of Nanoscale Science and Engineering - Strategic technology and commercialization centers and programs

1 * 'International Multiphase Program for Lithography Science and Engineering

(IMPLSE)' is a collaborative effort, with ASML and IBM, focusing on immersion and EUV

technologies.[http://cnse.albany.edu/LeadingEdgeResearchandDevelopment/

InternationalMultiphaseProgramforLithography.aspx International Multiphase Program for

Lithography Science and Engineering]. College of Nanoscale Science and

Engineering. Retrieved 7 January 2011.

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 11: AsmL .

College of Nanoscale Science and Engineering - Strategic technology and commercialization centers and programs

1 * 'International Venture for Nanolithography (INVENT)' is a global industry-university consortium that focuses on developing microchips

with smaller features and building a future workforce for the industry; partners include Advanced Micro Devices (AMD), ASML, IBM, and

Micron Technology.

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 12: AsmL .

ASML Holding

1 'ASML' is a Netherlands|Dutch company and currently the largest

supplier in the world of photolithography systems for the

semiconductor industry. The company manufactures machines for the production of integrated circuits

(ICs), such as CPUs, Dynamic random access memory|DRAM memory, flash

memory.https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 13: AsmL .

ASML Holding - Products

1 ASML.com (2011) with the competition consisting of Ultratech, Inc.|Ultratech, Canon (company)|

Canon and Nikon.

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 14: AsmL .

ASML Holding - Immersion lithography

1 ASML press release.

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 15: AsmL .

ASML Holding - EUV lithography

1 On 22 April 2009, the Belgian research center Imec presented the world’s first functional 22nm CMOS Static random

access memory|SRAM memory cells made using ASML's prototype EUV lithography

machine.[http://www2.imec.be/be_en/press/imec-news/archive-2009/imec-22nm-sram-cells-with-euv.html IMEC presents functional 22 nm SRAM cells fabricated

using EUV technology]

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 16: AsmL .

ASML Holding - Other

1 In addition to immersion-based lithography and EUV lithography,

ASML has a substantial “IP” portfolio covering Nanoimprint lithography|imprint lithography.For example, U.

S. Patents 7618250, 7692771 and U. S. Patent Applications 20070018360,

20100193994.

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 17: AsmL .

ASML Holding - Company

1 ASML’s corporate headquarters is in Veldhoven, Netherlands. It is also

the location for research, development, manufacturing and assembly. ASML has a worldwide

customer base and over sixty service points in sixteen countries. The

company is listed on both the AEX index|AEX and NASDAQ Stock

Exchanges, as ASML.https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 18: AsmL .

ASML Holding - Company

1 The name ASML was continued to be used, however the acronym officially does not mean anything but comes

from Advanced Semiconductor Materials Lithography.

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 19: AsmL .

ASML Holding - Company

1 Two and a half years later, ASML expected a record-high revenue.

[http://online.wsj.com/article/SB10001424052748703730104576260091610455466.html ASML Sees Record

Year]

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 20: AsmL .

ASML Holding - Company

1 ASML Press release, 9 July 2012.

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 21: AsmL .

ASML Holding - Company

1 This deal is without exclusive rights to future ASML products and, as of July 2012, ASML is offering another

10% of the shares to other companies.

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 22: AsmL .

ASML Holding - Company

1 As part of their EUV strategy, ASML announced the acquisition of DUV

and EUV sources manufacturer Cymer,_Inc.|Cymer in October 2012.[http://www.asml.com/asml/show.do?

ctx=5869rid=47397] ASML Press release, October 17, 2012

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 23: AsmL .

Dream - Communication through lucid dreaming

1 *Studies comparing in-dream sex, arousal, and orgasmLaBerge, S. (2014). Lucid dreaming: Paradoxes of dreaming consciousness. In E. Cardeña, S. Lynn,

S. Krippner (Eds.) , Varieties of anomalous experience: Examining the scientific evidence (2nd ed.) (pp. 145-173). Washington, DC US: American

Psychological Association. doi:10.1037/14258-006

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 24: AsmL .

Noord-Brabant - Economy

1 Also DAF Trucks|DAF, VDL Groep|VDL, Ciber, Atos Origin, NXP Semiconductors, ASML

Holding|ASML, FEI Company, Thales Nederland|Thales Cryognetics and

Netherlands Organisation for Applied Scientific Research|TNO Industrial Technology

are located in BrabantStad. The Eindhoven University of Technology hosts an incubator for technology startups (called the Twinning Center) and the NatLab has developed into

the High Tech Campus Eindhoven.

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 25: AsmL .

Capital Group Companies - Netherlands

1 * ASML Holding|ASML (8.8%)De Volkskrant, October 2010,

[http://www.volkskrant.nl/vk/nl/2764/beurs/integration/nmc/frameset/

beurscijfers/beurscijfers.dhtml Profiel ASML]

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 26: AsmL .

Ryan Vogelsong - Second stint with the San Francisco Giants

1 He didn't see any action in the NL 5–1 win over the

AL.[http://mlb.mlb.com/news/boxscore.jsp?gid=2011_07_12_aasmlb_nasmlb_1 MLB.com

Box Score His first start after the All-Star break on July 18, 2011, a 5ndash;0 win over the Los Angeles Dodgers, gave him enough innings pitched to qualify for the National

League leader in ERA with 2.02.http://twitter.com/#!/SFGiants/status/93

178472544997376

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 27: AsmL .

Bryce Harper - 2012 season: NL Rookie of the Year

1 He recorded his first Major League hit with a double in his third at-bat against Billingsley and got his first RBI on a sacrifice fly in the

top of the ninth against Javy Guerra.[http://losangeles.dodgers.mlb.com/

mlb/gameday/index.jsp?gid=2012_04_28_wasmlb_lanmlb_1mode=recapc_id=la#gid=2012_04_28_wasmlb_lanmlb_1mode=recapc_id=was Nats can't hold

down LA in Harper's solid debut]

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 28: AsmL .

Multidimensional organization - Comparison to other organization forms

1 The multidimensional organization is a new organization form, compared to the U-form, the M-form and the H-form. It transcends the restrictions

with the M-form or multi-unit organization, as well as the problems

with the matrix-organization. Examples of firms with a

multidimensional organization are IBM, Microsoft, ASML.

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 29: AsmL .

Washington Nationals - 2011

1 The Nationals finished the 2011 Major League Baseball season|2011 season in third place with a

record of 80-81, their second-best record since they moved to

Washington.[http://washington.nationals.mlb.com/mlb/gameday/index.jsp?

gid=2011_09_28_wasmlb_flomlb_1mode=boxc_id=was#gid=2011_09_28_wasmlb_flomlb_1mode=r

ecapc_id=was Strasburg fans 10, wins season finale] They only played 161 games because a

home game against the 2011 Los Angeles Dodgers season|Dodgers was cancelled due to rain

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 30: AsmL .

Matt Kemp - 2012

1 (April 29, 2012) [http://losangeles.dodgers.mlb.com/

mlb/gameday/index.jsp?gid=2012_04_28_wasmlb_lanmlb_1m

ode=recapc_id=la Kemp's walk-off homer caps Dodgers' rally]

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 31: AsmL .

Adam Wainwright - 2010

1 He faced five batters, throwing 17 pitches for ten strikes and seven balls, and allowed no runs

with just one hit – a double off the glove of fellow Cardinal All-Star Matt Holliday – one walk,

and two strikeouts.[http://mlb.mlb.com/mlb/gameday/ind

ex.jsp?gid=2010_07_13_nasmlb_aasmlb_1mode=game

day NL 3, AL 1] MLB.com In one eleven-game stretch preceding August 16, he compiled IP in

nine of those starts and allowed two earned runs for a 0.27 ERA.

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 32: AsmL .

Semiconductor wafer - Standard wafer sizes

1 In November 2013 ASML paused development of 450-mm lithography equipment, citing uncertain timing of

chipmaker demand.

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 33: AsmL .

High Tech Campus Eindhoven - Environment

1 The campus is surrounded by the Eindhoven high tech industry.

Companies very near the campus include ASML Holding|ASML, FEI Company and VDL Groep. The

Eindhoven University of Technology is only a few kilometers away. The

campus is located in one of the most innovative RD-regions in the

European Union, the Eindhoven-Leuven-Aachen Triangle.

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 34: AsmL .

Raleigh Bicycle Company - In media

1 Saturday Night and Sunday Morning, the 1958 debut novel by Alan Sillitoe, is partly set in Raleigh's Nottingham factory, Sillitoe himself being an ex-employee of the firm; several scenes

for the 1960 Saturday Night and Sunday Morning (film)|film

adaptation starring Albert Finney were filmed on location at the factory itself.http://www.ciaranbrown.com/sn

asmlocations.htmlhttps://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 35: AsmL .

BrabantStad

1 Also DAF Trucks|DAF, VDL Groep|VDL, Ciber, Atos Origin, NXP, ASML Holding|ASML, FEI

Company, Thales Nederland|Thales Cryognetics and Netherlands Organisation

for Applied Scientific Research|TNO Industrial Technology are located in BrabantStad. The Eindhoven University of Technology hosts an incubator for technology startups (called the

Twinning Center) and the NatLab has developed into the High Tech Campus

Eindhoven.

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 36: AsmL .

Extreme ultraviolet lithography - EUVL light source

1 while EUV sources are targeted to exceed 40 kW.[http://www.euvlitho.com/2013/S2.pdf

ASML update Nov

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 37: AsmL .

Extreme ultraviolet lithography - EUVL light source

1 , the development tools had a throughput of 4 wafers per hour with

a 120W source.[http://www.semiconductor.ne

t/article/CA6607864.html ASML update on ADT] For a 100 WPH

requirement, therefore, a 3kW source would be needed, which is not

available in the foreseeable future

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 38: AsmL .

Extreme ultraviolet lithography - EUV-specific overlay issues

1 Because EUV operates in a vacuum and requires reflective optics, EUV

lithography tools have special overlay concerns, recently studied by

IMEC, along with ASML Holding|ASML.J

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 39: AsmL .

Extreme ultraviolet lithography - EUV-specific overlay issues

1 The off-axis illumination of the reticle is also the cause of non-telecentricity

in wafer defocus, which consumes most of the overlay budget of the

NXE:3300 EUV scanner[http://www.asml.com/asml/s

how.do?lang=ENctx=46772dfp_product_id=8

42 NXE:3300B information from ASML] even for design rules as loose as 100 nm pitch.X. Liu et al., Proc.

SPIE vol. 9048, 90480Q (2014).

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 40: AsmL .

Extreme ultraviolet lithography - EUV diffraction and multilayer angle bandwidth

1 A total of six NXE:3100 and eight NXE:3300B systems will be installed for the purpose of learning; these models have been

discontinued.[http://www.sec.gov/Archives/edgar/data/937966/000119312514372252/d804772dex992.htm][http://www.asml.com/

doclib/misc/asml_20140306_EUV_lithography_-_NXE_platform_performance_overview.pdf ASML EUV tool overview][http://optics.org/news/5/7/24 ASML customers reschedule EUV tool

shipments] In 2015, ASML expects to ship around six NXE:3350B systems and convert three other previously ordered NXE:3300B

systems to NXE:3350B configuration.[http://www.sec.gov/Archives/edgar/data/937966/0001

19312514372252/d804772dex992.htm][http://optics.org/news/5/7/24 ASML customers reschedule EUV tool

shipments][http://www.asml.com/asml/show.do?lang=ENctx=5869rid=50869 ASML Q3 2014] These systems will have an NA of 0.33 which requires double patterning for the 7nm

node.R

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 41: AsmL .

Extreme ultraviolet lithography - EUV with Double Patterning

1 Such a wavelength (~6.7nm) would be beyond EUV, and is often referred

to as BEUV ('B'eyond 'E'xtreme 'U'ltra'V'iolet).[http://www.euvlitho.com/2010/P14.pdf ASML presentation at 2010 International Workshop on

Extreme Ultraviolet Sources]

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 42: AsmL .

Jamie Quirk - Coaching career

1 [http://chicago.cubs.mlb.com/mlb/gameday/index.jsp?

gid=2012_09_06_chnmlb_wasmlb_1mode=recapc_id=chc Cubs drop

tense game in Washington]

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html

Page 43: AsmL .

2011 AIBA World Boxing Championships - Medal summary

1 col-begincol-3AFGALBALGANGflagIOC2|ARG|2011 World Amateur Boxing Championships|

7ARMAUSAUTAZEBAHBARBLRBENBOLBIHBOTBRABULBURflagIOC2|CAN|2011 World Amateur Boxing Championships|

5CAMCAYCMRCHNTPECOLCOMCIVCROCUBCODCZEDENDMADOMECUEGYESAESTETHFINFRAcol-3GABGAMGEOflagIOC2|GER|2011 World

Amateur Boxing Championships|10GHAflagIOC2|GBR|2011 World Amateur Boxing

ChampionshipsENGSCOWALGREGUAHAIHUNISLINAflagIOC2|IND|2011 World Amateur Boxing

ChampionshipsIRNIRQIRLISRITAJAMJPNJORLATLTUKAZKENKGZKUWMKDMASMLIMUSMEXMDAMONMGLMNEMARMYANRUNEPcol-

3NEDNZLNCANIGNORflagIOC2|PAK|2011 World Amateur Boxing Championships|6flagIOC2|PHI|2011 World Amateur Boxing

Championships|6POLPORflagIOC2|ROU|2011 World Amateur Boxing Championships|

8RUSRWALCAVINKSASRBSENSEYSLESVKSVNRSAKORESPSRISWZSWETJKTHATKMTANTOGTONTRITUNTURUGAUKRUSAUZBVENVIEcol-end

https://store.theartofservice.com/itil-2011-foundation-complete-certification-kit-fourth-edition-study-guide-ebook-and-online-course.html