ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled...

53
ASD Workshop 2019 Book of Abstracts April 4 & 5, 2019 Imec, Leuven, Belgium

Transcript of ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled...

Page 1: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

 

 

ASDWorkshop2019BookofAbstracts

April 4 & 5, 2019 

Imec, Leuven, Belgium 

 

Page 2: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

                                             

 

WelcometoLeuven! Preface 

i    c n in   e  r c   rigin ll  pre en e     e 4  Are   elec ive  ep i i n  r p  ince i   ir  e i i n in 201 ,  i   r p    r ug   ge er le ing e per   r m    c emi  n  in u r     re  eir vi i n  n  re ul   u   un men l  pec     ur ce c emi r , ne  pr ce e , me r l g ,  iel     pplic i n ,  ec n l g  nee   n  in egr i n c llenge   r Are  elec ive  ep i i n   

i   e r  e  r p   pl ce in imec, Leuven  Belgium   n April 4   n  5 , 2019  I     r c e  ell  ver 150 p r icip n   i  4   u mi e   r c   eing pre en e  in eig   ec nic l  e i n   cr      n    p nel  i cu i n  n cri ic l c llenge   r A  In  ll  ur e i i n     e A   r p, 

e m ri    p r icip n    c me  r m in u r ,  i  m re  n 40 in u rie  repre en e  in 2019    

r  e r   e  emic n uc r in u r    u e  v ri u   rm    A ,  uc    epi i l  i i e  r me l m eri l   n me l  ur ce  A  i  reg ining m men um in  e e  ,  riven    e incre e  nee    i rup ive in egr i n  c eme    en le  u ure  evice  c ling   ric ing  m ller  e ure  i  

incre ingl   i icul ,  u   e  e  c llenge  r  ur er  n c ling i   ligning  e  e ure  in mul il er evice  c   i erence   e een  e in en e   n  prin e   e ure  in   l u   ge  l cemen   rr r  re  u     ec me  e limi ing  c r    re  L  B  in l gic  n  mem r   evice , rc i ec ure   re ev lving  r m pl n r      ruc ure   i  incre ingl   ig   pec  r i   e  p erning  c eme   re nee e     ul  n   e c nceiv le u ing  nl  li gr p e   p n lu i n   

 e  re    e e ge     p r igm  i  in  e  iel    n n ec n l g  pre ic e       e nm n  0  e r  g   m   ill  e u e     uil ing  l c     ric e m eri l   r m  e  m up  n   nl  in  e e ire  l c i n   e i e  i    u e  mic c le pr ce ing  e g ,  ep i i n, e c ing, cle ning    c ieve  e re uire  preci i n in m eri l pl cemen    e pl i ing  e  i erence  in c emic l c mp i i n    e  ur ce  eing c e , in e    u ing   p m     ucce   ill  epen   n  evel ping c e ec ive  n  r u  n n m nu c uring me ,  e   n   cle r un er n ing  n   precur r m lecule  in er c   i   peci ic  ur ce  i e   en  e  ir  ep i i n re c i n  pr cee   

 ever l le ing e uipmen   upplier   A , Applie   eri l , LA   e e rc ,  L   n  imec  e   e ge    e 4  A   r p   pre en ing  eir vie   n re e rc  nee   n   pplic i n   r A  r ug   e  ec nic l pr gr m,  i eren   r egie     c ieve A   re  e cri e   i  p r icul r 

emp i   n  re e c iv i n     e i n     e  r p  re  ull   e ic e     e u e    el  

 

Page 3: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

                                             

 

 em le  m n l er ,  ll e     ever l pre en i n   n  l ern ive in i i r   e g , p l mer , 

v p r p e precur r   n r l    e ec ivi   n  e  e c iv e   ur ce rem in   ne    e m in c llenge  in A   i eren   r egie   r  e ec  mi ig i n  re  re e     ever l  pe er ,  uc    e uen i l  ep i i n e c ing  r regener i n    e in i i r l er   ecen   v nce   n me r l g   r e c r c eri i n  n   e er c n r l   A  pr ce e   n p erne   u r e   re pre en e   ne e i n i   e ic e     v nce  m eri l   n  pr ce ing, inclu ing  p gr p ic ll   elec ive pr ce e  r    u r e   ile  e  emic n uc r in u r     riven  e m in  evel pmen  in A , ne   n  

e ci ing  pplic i n   re pre en e  in  e  iel    c l i   n   le i le elec r nic   e  r p en  i    p nel  i cu i n  n re e rc  nee   r A   i   e  im   i en i ing  e cri ic l c llenge   n  

pr m e  u ure  u   irec i n    e e pre   ur  peci l  n     ll  pe er , invi e   n  c n ri u ing,  r  eir in ere  in  e 4  A  r p  n   r  u mi ing  ig u li   r c   e c nclu e    ing    e  ucce     e r p i  gre l   n  p i ivel  in luence     e  in nci l  upp r  given  i   e r    e  ll ing 

in u ri l  p n r  Applie   eri l , A ,  L, LA   e e rc ,  v ,    le n  em ,  irc,  i en An l ic l,  rem  emic l  Inc ,  u i    n  e l     e  rg ni ing c mmi ee, i  i  m  ple ure    elc me  u   Leuven  

 An re  Illi eri, A  Belgium  r gr m  ir    e 4  A   r p 

 April 2019, Leuven   

 

Page 4: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

                                             

 

Committees Program Chair:   Andrea Illiberi  A , Belgium    Program Committee:   Stacey Bent  n r   niver i ,  A  Robert Clark  L,  A  Annelies Delabie  imec, Belgium, A  201   ir  John Ekerdt  niver i     e ,  A  Dennis Hausmann  L m  e e rc ,  A  Shashi Vyas  In el,  A  Erwin Kessels  in ven  niver i     ec n l g ,  L  Adrie Mackus  in ven  niver i     ec n l g ,  L, A  201   ir  Ravindra Kanjolia    er rm nce  eri l ,  A  Jan Willem Maes  A , Belgium  Gregory Parsons  r   r lin   e  niver i ,  A, A  201   ir  Mark Saly  Applie   eri l ,  A  Kavita Shah  v ,  A  Rami Hourani  In el,  A  Anuja DeSilva  IB ,  A    Local Organizing Committee:  Hessel Sprey  A , Belgium  Kathleen Vanderheyden  imec  Job Soethoudt  imec  Jan‐Willem Clerix  imec  Marleen Orband  imec  Karin Perremans  A , Belgium  

 

Page 5: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

                                             

 

Sponsors

Platinum Sponsors 

                                

                         

Gold Sponsors 

             

Silver Sponsors 

    

            

Page 6: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

                                             

 

TechnicalProgramandSchedule Thursday 4 April 2019  0 45  B ge pic up  n   re   0 45  elc me  n  in r uc i n  Overview  09 00  Invited: Area Selective Deposition Challenges and Opportunities for Patterning solution 

r in Al mir n nc e , B   n, Annelie   el ie,  ilvi  Armini  n   even  c eer, I   Deposition / etch and modeling  09 0  Invited: Challenges and opportunities for high volume manufacturing of selective processes 

L   r i,    u m nn,    mi ,   Lem ire,    rm , LA   e e rc   10 00  Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes 

reg r   r n ,  r   r lin   e  niver i   10 0   Coffee Break  11 00  Are elec ive  ep i i n    i 2  e   n  p i l AL   i  in erle ve  e c ing  ep     in 

elec ivi ie    10 nm A   meli,    e m,    ,      l   en re 

 11 15  A    c m ining AL   n   elec ive e c ing  pr    c ncep   r  u 

r i n    ,  n li    pr ,  rcel A   er ei en,  n    er ,  umi  Ag r l, il elmu     r in   e el , A ri n    c u   in ven,  niver i     ec n l g ,  e niver i     e    Au in,  l r   c l    ine  

 Catalysis and metals  11 0  Invited: Chemical selectivity and nucleation during ALD of Ru with the RuO4 precursor 

    in u ,    i c er , I   n  rie c e,    e vernier,    en ven,    r up  n  I   r up,  en   niver i  

 12 00  Bime llic n n c mp i e c l   ric e     re   elec ive AL   n   pplic i n  

 

Page 7: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

                                             

 

 ng  en,  un  ,  un L ng,  i ming  i, Bin  n,  u ng  niver i     cience  n  

ec n l g ,  u n  12 15  In i u  re   elec ive AL  in    c nning elec r n micr c pe 

  eev n n m,   v n  l,   v n  en,    rui ,    gen,  el   niver i     ec n l g   12 0   Lunch Break  Poster session  uring lunc   re   1   u     elec ive      ilic n  n  ilic n  i ri e  n  Aluminum  i e e  A i i,  vel Bul in,  mi ri  ine ,  niel  uc e ,  ri     n n, L I ,  c le l ec ni ue,  l i e u 

 2  Are elec ive  ep i i n      in  ilm     mic l er  ep i i n  ulie  i c e  v lvi ,  er An er   n en  n   l   il en  niver i     l ,  emi r   ep r men   n  en re  r  eri l   cience  n   n ec n l g ,  0 1   l ,  r  

  Are elec ive  ep i i n    icr   l r  ell   r  ig er  er  nver i n  icienc  

  i p ,   An cle ,    e er,      le,  niver i    Lu em urg, In ern i n l I eri n n ec n l g  L r r    Br g  

 4  1   i 2 n n u e l er  e cellen  pl rm  r  re   elec ive AL    pe,    ri r l,      c ,  niver i     r u ice, Brn   niver i     ec n l g  

 5   n r lle   ep i i n   p l mer  ru   ilm   r A   pplic i n    v,   Lun ,    ummin ,    n ,    nelgr ve,  A   rri ,  rini   llege  u lin, niver i    B r e u ,  u lin  i   niver i  

  A  r n rm ive  ec n l g   r Are   elec ive  ep i i n 

 A   ing,   Li,    i ng,    ng,    ng,  u u In i u e    n ec   n   n Bi nic   

 A c m ine   e re ic l  n  e perimen l  u     e   elec ive AL  gr   n   n n p r icle  i ming  i,  un  ,  n ei  en, Bin  n,  ng  en  u ng,  niver i     cience  n  ec n l g ,  u n 

     ee l er  ree AL  gr    Al2   ielec ric l er    elec ive nucle i n  n m nl er gr p ene 

epi i ll   ric e   n  ilic n c r i e   

Page 8: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

                                             

 

   c ilir ,   L   igr ,    i nn ,   Agnell , B   ec , I    Iv n v,    im v ,  I   ni , lerm   nive i ,  A en re  r  nerg   e e rc Bup pe , Lin ping  niver i  

 9  Angle re lve   A   n l i    Al   n   u  l er   rme    me l  l   i u i n in    p l  

2 vin lp ri ine  2v  p l mer l er     ni n le ,    nelgr ve,    nn r,   B g n,   Lun ,    rri ,    ug e , Au n m u  niver i     iu   u re ,  u lin  i   niver i ,  r ni   llege  u lin 

 10   reci e  n r l    er  p r  eliver   r A   Alv re ,  r ,    m i,    piegelm n,  A I  

 11  L  energ  i n  c ering  n l i  in AL   n  A   Br ner,  re l,   Br nger m , I ,  in ven  niver i     ec n l g  

 12  Imp c    l  energ   u r e  i ing in  e ini i l  ge      gr     AL   n ermin e   i  n   i i 2  u r e   Bel cen,  ll e, L ren uille ,   B nv l , A  B ie ,  niver i e  ren le Alpe ,  A L I 

 Self‐Assembled Monolayers (SAM) 1  14 15  Invited: Materials design in self‐aligned processes ‐ the potential integration of area selective 

depositions u   ec i, Anu   e ilv ,  n  gu en,  gi  e r , Ale n er  e ,  el Arell n, IB  e e rc Alm en, IB   emic n uc r  e e rc  

 14 45  ruc ur l  e    Al ne i l e  el A em le   n l er   1 12   n  u  u ie    

en i   unc i n l  e r      leri , A   n i ,   Armini,      rve , A   el ie ,  ep r men     emi r      

Leuven, imec  15 00  p r  e  i l  el A em le   n l er   r   A  

e i n      erreg ,  inne  el n e,  l   ei,  er er   ru ,  ilvi  Armini, Imec  15 15   A      c m in i n   AL   n   rg nic  ilm p iv i n  r  el ligne  vi  p erning 

  u li,    e  en ,   Armini,    eng,   A   erni, A  Illi eri,    iven ,   Leuven, imec, A  Belgium, A   icr c emi r  

 15 0   Coffee Break   

Page 9: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

                                             

 

 Self‐Assembled Monolayers (SAM) 2  1 00  Invited: Nucleation of Binary and Ternary Oxides and Metals During AS‐ALD with Applications 

for Metal and Crystalline Films in  n,  im m u    ll n, Brenn n    e ,  ei u  en,  n    er ,  niver i    e    Au in 

 1 0   A    AL   u gr  in i i r in  n  re   elec ive  m up me lli i n  c eme 

I   ul v,    i l,   Armini,    e  en ,   Leuven, Imec,    emni   1 45  e e ec    A mic  gen  n  rime il lpr p l ie lene ri mine  A   el  

em le  m n l er   B g n, A  Br B ,   Armini,    nn r,  u lin  i   niver i , imec 

   19 00   Conference Dinner at the Faculty Club’s Cum Laude restaurant in the UNESCO world heritage 

Leuven’s Great Beguinage, Groot Begijnhof 14, 3000 Leuven   

Friday 5 April 2019  Alternative inhibitors  09 00   Invited: Selective deposition requirements for patterning applications 

  ree ,   I  L ng,   Ale i , Applie   eri l ,  n   l r ,  li rni   09 0  Invited: Surface‐reactivity‐determined Patterning Technology ‐ The Era of Atomic Crafting 

n B m Lee, Inc e n  i n l  niver i   10 00  ec ni m   precur r  l c ing   in i i r m lecule  

  er ,    u m nn,    e el ,    n v l, A   c u , in ven  niver i     ec n l g , LA   e e rc   rp r i n,  niver i   cnic   e eric  n   r     n i g  

 10 15  Are   elec ive  u AL     m rp u  c r n m i ic i n u ing   r ic l  

I   ul v,    r nin ,    l in, B   n,    n elevic ,    im v ,   Armini,    e en    Leuven, L m n v,  c   e  niver i  

 10 0   r up pic ure  n  Coffee Break  

Page 10: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

                                             

 

 Advanced materials and processes  11 00  Invited: Advances and Challenges in Selective Deposition 

n r   pil ,  u i A um ,  inic i I e,  umi   n ,  i ung  u,  n   n i , p i   g l ,  nn   e m n,    l r ,  r   ,  i  un mur ,  er  Leu in , 

u u  i gi,  L  ec n l g   en er Americ ,    lec r n  ec n l g   lu i n   , L   

 11 0  Are   elec ive  ep i i n    pl m  c n  v r  e  p gr p ic ll   elec ive  ep i i n  n   

u r e   ll e,   B nv l ,    ill u ,    e ce, A   er,   Bel cen,    m , B   eli ier,   n n, A  B ie ,  niver i e  ren le Alpe ,  niver i     u u ,  A L I 

 11 45  Invited: Inductively coupled plasma‐polymerized CFx inhibition layers for selective oxide and 

metal deposition ecmi Bi i li,  niver i     nnec icu  

 12 15  rm i n  n  in i u c r c eri i n   me l  i e   r m in il r e  p l mer  

  nelgr ve,   B g n,      ni n le ,   Lun ,    i ,    rri ,    ug e ,   nn r,  u lin  i   niver i , Au n m u   niver i     iu   u re ,  rini   llege 

u lin,  i n l  en re  r  l m   cience  n   ec n l g   u lin  12 0  elec ive  re  gr     i m n   n AL  c e   ur ce  

  m ne i,    egu i ,      n r n,    e in ,      n B el,    enen,  el  niver i , I imec 

 12 45   Lunch Break  Defects control  14 00  Invited: Selective Epitaxial growth 

  lle A ,    eng,    i ,   Ale i   erni,    e , A  Illi eri,    iven   14 0  ur ce  i u i n  r  e ec  mi ig i n  uring A     u 

  e u ,    rill ,    r ue ,     v n  mmen,    , A   el ie,   Leuven, imec,   el ,    uric  

 14 45  Invited: Scatterometry and AFM measurement combination for area selective deposition 

process characterization  

Page 11: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

                                             

 

 me   i , Al in  u , Anne L ure  rle ,  ilippe Ler ,  e   ung,    re , Avr n 

er,  ren  eng, An re  Illi eri,  n  illem  e ,  riel  r   n   ic el  r u , Imec,  v   e uring In rumen , A  Belgium,  erm   i er  cien i ic 

 15 00  ri ic l c llenge   r A  p nel  i cu i n   15 50  umm r   n   urn  1 00  n     e  r p  

   

Page 12: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

                                             

 

Oralpresentationabstracts–ThursdayApril4   

Page 13: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Area Selective Deposition Challenges and Opportunities for Patterning solutions

E. Altamirano-Sanchez+, BT Chan, Annelies Delabie, Silvia Armini and Steven Sheer

imec, Kapeldreef 75, 3001 Leuven, Belgium

Area selective deposition (ASD) has a huge potential to solve critical patterning processes which will enable future technologies (3nm and beyond). Most frequent patterning challenges are high aspect-ratio trenches with bottom layers of either metal or dielectric which are currently being solved by a classical deposition (CVD or ALD, chemical or plasma enhanced), planarization (CMP) and plasma etch (metal or dielectric recess) [1]. The thickness control within array, die, wafer, wafer to wafer and batch to batch is of few nanometers (< 3nm). A single process step of ASD might solve such patterning challenges. There are several scaling boosters that will straight forward benefit from ASD such as self-aligned via, super via and buried power rail (see fig. 1). Even, new paradigm architectures such as vertical complementary FET (CFET) will benefit from ASD processes (fig. 1d). In this presentation, we will elaborate in more detail about patterning challenges mentioned above and how ASD might help to solve them or simplify device integration.

a) b) c)

+ [email protected] [1] Altamirano-Sánchez E et al. IITC 21st IEEE International, Santa Clara Cal, 2018.

Figure 1. a) Super-via Mx+2, b) Buried power rail, c) self-aligned via and d) CFET

Page 14: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Challenges and Opportunities for High-Volume Manufacturing (HVM) of Selective Processes

K.L. Nardi, D.M. Hausmann, D.C. Smith, P.C. Lemaire, K. Sharma Lam Research Corporation, 4650 Cushing Parkway, Fremont, CA, USA

.

Selective deposition has been known and demonstrated in the literature for several decades, but only a handful of selective deposition techniques are currently involved in the manufacture of integrated circuits. In recent years, the semiconductor industry has seen renewed interest in selective deposition to meet its needs for continued device scaling. A main driver is the need to reduce edge placement error from lithographic processing. Promising advancements in selective processing from academia have further fueled industry’s growing interest. In this talk, we will explore factors driving the semiconductor industry to look beyond conventional top-down processing to selective processing techniques. We will discuss applications where selective deposition is needed. We will then look at the requirements for a selective processing technique to become a high-volume manufacturable (HVM) process with a focus on area selective atomic layer deposition. The challenges and opportunities for HVM will be presented with an emphasis on the need for low-defect, low-cost, high-throughput, repeatable processes that can be applied across a 300 mm wafer without the loss of unit film properties. We will discuss of the approaches we're taking at Lam Research to meet these requirements, and where innovation is still needed. Through this presentation, we hope to accelerate innovation in HVM-compatible selective processing.

+ Author for correspondence: [email protected]

Page 15: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Modeling and Selectivity Loss During Coupled Deposition/Etching ASD Processes

Gregory N. Parsons

Department of Chemical and Biomolecular Engineering North Carolina State University, Raleigh, NC, USA e-mail: [email protected]

Several new approaches are emerging where chemical etching is being coupled with atomic layer deposition to achieve area-selective deposition of dielectrics and metals. During ALD, selectivity is generally lost when undesired nuclei form on the targeted non-growth surface. These undesired nuclei can sometimes be removed by periodic etching, improving the overall selectivity. However, it is not known to what extent these coupled deposition/etching sequences can proceed while maintaining good selectivity. As desirable deposition and etching reactions proceed, other changes in the process can occur to enhance unwanted nucleation and/or impede desired etching, thereby limiting the net selectivity. Recent modeling studies have described approaches to characterize and quantify the extent of selectivity during ASD processing. This presentation will show results of selectivity loss during coupled dep/etch reactions, and describe how modeling of nucleation and growth can give insights into ASD defectivity and selectivity loss mechanisms.

Page 16: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Area-selective deposition of SiO2 based on spatial ALD with interleaved etching steps to obtain selectivities > 10 nm

A. Mameli,+ F. Roozeboom, and P. Poodt

TNO-Holst Centre, High Tech Campus 21, 5656 AE Eindhoven, The Netherlands

Area-selective atomic layer deposition (AS-ALD) has the potential for pushing device manufacturing towards new frontiers. However, the selectivity that can be obtained is often very limited and the throughput of most AS-ALD methods is low, which hampers its industrial acceptance.[1,2] In this work we present a process for AS-ALD of SiO2 using intermittent plasma etching steps to increase the selectivity above 10 nm.[3] The deposition process itself is performed in a spatial ALD mode at atmospheric pressure that allows for achieving high throughput.[4]

AS-ALD of SiO2 on a pre-patterned substrate with SiO2 and ZnO was demonstrated using a chemoselective inhibitor that chemisorbs preferentially on the non-growth area (ZnO) while it allows for depositing SiO2 on the growth area (SiO2). In order to obtain high selectivity, a blanket fluorocarbon plasma etching step was interleaved after every 110 ALD cycles. This way, up to ~ 30 nm-thick selective SiO2 deposition was demonstrated, as shown in Figure 1. Furthermore, X-ray photoelectron spectroscopy was carried out to verify the selectivity of the process. No Si was detected (detection limit 0.3 at.%) on the non-growth area, demonstrating the high selectivity of the process.

The process presented in this work combines selective inhibitor chemisorption, plasma-based spatial ALD at high deposition rates and plasma etch-back steps to correct for selectivity loss. Being compatible with roll-to-roll and sheet-to-sheet concepts, this approach can enable high-throughput AS-ALD on large-area and flexible substrates as well.

0 100 200 300 400

0

5

10

15

20

25

30

Etch-backSele

ctive

dep

Thic

knes

s (n

m)

# cycles

on SiO2

on ZnO

Selecti

ve de

p

Etch-back

Figure 1. SiO2 film thickness as a function of the number of cycles on growth (SiO2) and non-growth (ZnO) areas. After every 110 selective ALD cycles, an etch-step is inserted to remove unwanted deposition on the non-growth area. The entire process is cycled to reach extreme selectivity.

+ [email protected] [1] A. Mameli et al., ACS Nano, 2017, 11, 9303-93 [2] F.S.M. Hashemi et al., ACS Nano, 2015, 9, 8710-8717 [3] R. Vallat et al., JVSTA, 2017, 35, 01B104 [4] P. Poodt et al. JVSTA, 2012, 30, 01802(1-10)

Page 17: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Area-selective deposition by combining atomic layer deposition and selective etching: proof of concept for Ru

Martijn F.J. Vos,a,+ Sonali N. Chopra,b Marcel A. Verheijen,a John G. Ekerdt,b Sumit

Agarwal,c Wilhelmus M.M. (Erwin) Kessels,a Adriaan J.M. Mackusa a Eindhoven University of Technology, P.O. Box 513, 5600 MB Eindhoven, The Netherlands b The University of Texas at Austin, 200 East Dean Keeton Street, Austin, Texas 78712, US

c Colorado School of Mines, 1613 Illinois Street, Golden, Colorado 80401, US

One of the main challenges regarding area-selective deposition is the loss of selectivity after a certain processing time, due to nucleation on defects or impurities on the non-growth area. To improve the selectivity of area-selective ALD, periodic correction or cleaning steps can be employed. For instance, selective etch steps can be implemented a supercycle recipe, in order to remove unwanted nucleation from the non-growth area.1

In this contribution, we demonstrate the area-selective ALD of Ru, by combining a thermal Ru ALD process with a periodic etch cycle, consisting of O2 plasma followed by H2 gas. Ru is currently receiving substantial attention for application in metal interconnects in semiconductor devices. Specifically, Ru was deposited on top of Pt and Ru (metal-on-metal), with SiO2 as the non-growth area, by including the etch cycle in the recipe after every 100 ALD cycles. A high selectivity was confirmed using a variety of characterization techniques, and a Ru thickness of 8 nm on top of metal lines was demonstrated. Furthermore, it was found that the etch cycle leads to smoothing of the Ru film, resulting in a lower surface roughness than for the ALD recipe without etching. In addition to addressing the experimental results, we will discuss the general principles of the supercycle approach. Guidelines will be given for combining ALD and etching to improve the selectivity of an ALD process with an inherent (but insufficient) selectivity. The two main requirements for the approach to work, growth area-selectivity and etch selectivity, will be discussed. In addition, several other aspects that allow for a more ideal area-selective ALD process will be highlighted, important for extending the supercycle approach to other material systems.

+Author for correspondence: [email protected] 1 Vallat et al., J. Vac. Sci. Technol. A., 35, 2017.

Figure 1. Schematic illustration of the concept of combining ALD with periodic etching to achieve area-selective deposition. By repeating the ALD-etch supercycle, a film with the desired thickness is obtained on the growth area (gray, e.g. Pt, Ru), while the non-growth area (blue, e.g. SiO2) is left clean.

Figure 2. Cross-sectional energy-dispersive X-ray spectroscopy (EDX) elemental mapping of a SiO2/Pt pattern selectively coated with Ru.

Page 18: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Chemical selectivity and nucleation during ALD of Ru with the RuO4 precursor

M. M. Minjauw,a H. Rijckaert,b I. Van Driessche,b C. Detavernier,a J. Dendoovena

a Department of Solid State Sciences, COCOON group, Ghent University, Belgium b Department of Chemistry, SCRIPTS group, Ghent University, Belgium

Despite its higher bulk resistivity, ruthenium is proposed to replace copper in sub-10 nm interconnects, because it shows better electromigration behavior and doesn’t need a barrier and liner. We developed thermal and plasma-enhanced ALD processes using RuO4 and H2 that allow for low-temperature deposition of high quality ruthenium thin films [1,2]. Here, we report on the inherent substrate selectivity for the thermal process on H-terminated Si (Si-H) versus SiO₂. In situ spectroscopic ellipsometry (SE) showed a growth delay of 60 cycles on SiO2, while on Si-H growth occurred from the first cycle onward. Fig. 1 presents a cross-section high resolution TEM investigation of a Ru deposition on a patterned Si-H/SiO2 substrate, revealing a smooth, continuous Ru film of 4.5 nm on the Si-H surface, with no growth on SiO2. The chemical selectivity was further investigated in a vacuum cluster tool that allows for direct ‘in vacuo’ sample transfer from the ALD setup to an XPS instrument. The strong oxidative nature of the RuO4-precursor was confirmed to oxidize the Si-H surface, enabling Ru deposition, while no reaction can occur with SiO2. The nucleation on oxide substrates can be enhanced by switching from the thermal process to the plasma-enhanced ALD process [3]. However, in situ grazing incidence small angle X-ray scattering measurements at the synchrotron and ex situ SEM imaging revealed an island-like growth, which is to be avoided if continuous films and low film thicknesses are aimed for. An approach to enhance the nucleation of the RuO₄/H₂-gas process on oxide substrates was developed, based on exposing the surface to trimethylaluminum (TMA) just before the start of the ALD process. As confirmed by in vacuo XPS, the introduction of surface methyl groups during the TMA exposure makes the oxide surface reactive towards RuO4, leading to immediate Ru deposition during the first ALD cycle. The swift nucleation resulted in films with a low roughness and sufficiently low resistivity value, comparable to the Ru thin films deposited on Si-H. Given the high reactivity of TMA, this approach presents a way to achieve Ru metallization of virtually any surface. [1] M. M. Minjauw et al., J. Mater. Chem. C, 2015, 3, 132. [2] M. M. Minjauw et al., J. Mater. Chem. C, 2015, 3, 4848. [3] J. Dendooven et al., Rev. Sci. Instrum., 2016, 87, 113905.

+ Author for correspondence: [email protected]

Figure 1 HR-TEM image of the Ru film selectively deposited on Si-H

with no growth on SiO2

Page 19: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Bimetallic nanocomposite catalysts fabricated by area selective atomic layer deposition and applications

Rong Chen1*

,Kun Cao1, Yun Lang2, Jiaming Cai1, Bin Shan2

1 School of Mechanical Science and Engineering, State Key Laboratory of Digital Manufacturing Equipment and Technology

2 State Key Laboratory of Material Processing and Die and Mould Technology and School of Materials Science and Engineering

*Huazhong University of Science and Technology,1037 Luoyu Road, Wuhan, Hubei PR China 430074

E-mail: rongchen@ hust.edu.cn.

Atomic layer deposition (ALD) is a mainstay technology for the semiconductor industry since it allows deposition of nanometer-thin layers of desired materials onto a substrate in a very controlled and uniform manner. Recently, ALD has been adapted to design and synthesize composite catalysts that allow them to promote multiple chemical reactions. In fabrication of composite catalysts, the selective approaches of ALD are of great importance to exert spatial control of deposition to fabricate three dimensional nanostructures.

In this talk, strategies for selective ALD and enabled bimetallic nanostructures for catalytic applications will be discussed. Selective ALD allows directional and precise tailoring of the structural size, composition, interfaces, and active sites, which are of great importance for catalysis applications. Three major types of nanocomposite are introduced, like core shell, facet selective coating, as well as meshed coating structures. Pd@Pt core shell nanoparticles, Ru@Pt facet selective coating structures are fabricated via selective deposition process. The coating thickness can be controlled with atomic scale accuracy. Further, Co is coated on Ni nanoparticles to form a meshed coating structure, and improve the activity and coking resistance and stability. Theoretical simulations, spectroscopic and microscopic analysis, and catalytic performance are carried out to verify the results. These nanocomposites fabricated by selective ALD demonstrate its unique advantages to design and fabricate highly stable and active catalysts on the atomic scale, providing unique opportunities to understand the structure–property relationship of catalysis.

Page 20: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

In-situ area selective ALD in a scanning electron microscope

G. Jeevanandam, R. van Tol, Y. van Goozen, P. Kruit, C.W. Hagen

Delft University of Technology, Dept. Imaging Physics, Charged Particle Optics group, Delft, The Netherlands

A cleanroom is a necessity to fabricate nano-scale devices, because it protects the substrate from contamination as much as possible between the different steps in a fabrication process. We envision fabricating a device inside a scanning electron microscope (SEM) i.e., “a cleanroom inside an SEM “. Our goal is to bring in a sample inside the microscope and do the required steps to fabricate a device, including its inspection, in the same tool. This can be done with the addition of miniaturized standard nanofabrication tools inside an SEM, maintaining the vacuum between the different processes and eliminating the need of an expensive cleanroom. Here, we will concentrate on integrating a thermal ALD tool within the SEM using electron beam induced deposition (EBID) of Platinum/Carbon as the seed layer[1]. Both Pt EBID and Pt ALD use the same precursor, MeCpPtMe3. For the reactant gas, we have modified an existing gas injection system (GIS) needle to deliver dry air. Figure 1 shows the SEM image and AFM profile of the Pt seed layers with different doses before ALD. Figure 2 shows the same seed layers after 17 cycles of thermal ALD at 240 °C. Even though, we have achieved in-situ ALD in the SEM, the duration of a single ALD cycle is three minutes. This long duration is due to the closing valve of the Pt GIS needle which is located far off from the needle outlet. To bring a single cycle duration to a standard thermal ALD cycle duration, we have modified the Pt GIS such that the closing valve is near the outlet of the needle. The results of these experiments will be presented at the workshop.

+ Author for correspondence: [email protected] [1] A.J.M. Mackus, et al, J Appl. Phys. 107,(2010)

Figure 2 (a) Secondary electron image of the same seed layers after 17 cycles of ALD,

with a clearly observed contrast change. (b) AFM profile of the seed layers after 17

cycles of ALD. Scale bar – 5 µm

Figure 1 (a) Secondary electron image of Pt/C seed layers with various

doses(indicated in nC/µm2) (b) AFM profile over the seed layer. Scale bar – 5 µm

Page 21: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Materials Design in Self-Aligned Processes – The Potential Integration of Area Selective Depositions

Rudy Wojtecki,a Anuja DeSilva,b Son Nguyen,b Magi Mettry,a Alexander Hess,a and Noel Arellanoa

a IBM Research - Almaden, 650 Harry Rd., San Jose, Ca 95120 b IBM Semiconductor Research, 253 Fuller Ave., Albany, Ny, 12203

The future of nanomanufacturing faces increasingly difficult challenges to mature the 7 and 5nm technology nodes. The fabrication of devices require multiple patterning steps which, at these length scales, increases the difficulty assosciated with alignment and can lead to process variability from overlay error, ultimately impacting device performance and yield. In order to extend scaling, self-aligned processes are required where selective film deposition on patterned substrates can be used to introduce topography and relax downstream requirements reducing the impacts on device performance from overlay error (e.g. self-aligned via). Area selective depositions (ASD), facilitated by organic materials that selectively bind to a metal surface vs. a dielectric, for instance, are an attractive strategy to guide the atomic layer deposition (ALD) of etch masks or useful dielctric materials with high levels of selectivity. In principle, this process could be integrated in existing process flows with the addition of only a limited number of process steps. However, the process window and compatibility requirements first need attention and study. Device fabrication requires new processes to meet defectivity and yield requirements which may require new materials and the optimization of coating techniques. The criteria for the inhibiting material include: (i) the material composition cannot contain components that can contaminate other processes such as chlorine and, ideally, would not contain heteroatom dopant materials such as phosphorous (ii) the process time for forming an effective and selective inhibiting layer needs to be minimized (iii) the effectiveness of the inhibiting material needs to minimize or prevent common sources of defects such as lateral overgrowth, point defects and preserve pattern fidelity [1]. The design and process requirements of inhibiting layers will be discussed in detail with an eye towards process compatability of the materials themselves. In addition, the ALD film composition is of critical importance in the device process flow requiring consideration of the electrical properties, such as the dielectric constant, that may require matching to the underlying materials – ultimately making the ASD of low dielectric constant films like Al2O3 and ZnO more attractive than films like TiO2 (k » 80) despite its effectiveness as an etch mask. + Author for correspondence: [email protected] [1] ACS App. Mat. & Interf., 10(44), 38630 (2018).

Figure 1: The pathway from evaluation to integration requires addressing three primary criteria ASD defectivity, material compatibility and process compatibility.

Page 22: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Structural Phases of Alkanethiolate Self-Assembled Monolayers (C1-12) on Cu Studied by Density Functional Theory

J. J. Clerix,a,b A. Sanz-Matias,a S. Armini,b J. N. Harvey,a A. Delabiea,b

a Department of Chemistry, KU Leuven, Celestijnenlaan 200F-2404, 3001 Leuven, Belgium b Imec, Kapeldreef 75, 3001 Leuven, Belgium

Self-assembled monolayers (SAMs) are a promising approach for passivation in area-selective deposition (ASD) [1]. To achieve optimal passivation, the SAM tail groups should not react with ASD precursors, and a dense monolayer is required to block percolation of precursor molecules in the SAM [2]. Alkanethiolate SAMs on Cu have been studied experimentally, but atomistic insight into the formation mechanism and structure is limited to short chain thiolates. Studies based on density functional theory (DFT) could provide more insight. However, while the importance of intermolecular interactions for SAMs is widely known, dispersion interactions have not yet been considered in DFT studies of SAMs. Moreover, only short chain thiolates (C1-2) have been studied. Hence, we investigate the energetics and structure of Cu-thiolate SAMs for different surface contents through periodic, dispersion corrected DFT calculations, for thiolates with different chain lengths. The adsorption of alkanethiols on the Cu[100] surface (figure 1) is driven by passivation of dangling bonds on the surface and formation of strong Cu-thiolate bonds (bond dissociation energy Cu-SCH3: 295.3 kJ/mol, c(2x6) phase). The four Cu atoms involved in thiolate binding are displaced outward by 0.10 Å in the xy-plane and the z-direction, in agreement with experiment [3]. This distortion does not introduce significant energy differences in the Cu lattice but strengthens the Cu-thiolate bond by up to 11.4 kJ/mol. For low thiolate content (≤2.1∙1014 thiolates/cm2), hexanethiolates will lie down on the surface. The interactions between the alkyl chain and the Cu surface amount to 6.0 kJ/mol per C atom. At high thiolate content (≥4.2∙1014 thiolates/cm2), hexanethiolates will stand up. Additional stabilization occurs through intermolecular interactions between the alkyl chains, which amounts to 6.3 kJ/mol per C atom. At the highest coverage considered here (8.3∙1014 thiolates/cm2), the limit of steric hindrance for the alkyl chains is approached [4]. We conclude that the structures and energetics of alkanethiolate SAMs are strongly influenced by intermolecular interactions. + Author for correspondence: [email protected]; [1] F. S. M. Hashemi et al., ACS Appl. Mater. Interfaces 8, 33264-33272(2016). [2] L. Lecordier et al., J. Vac. Sci. Technol. A 36, 031605(2018). [3] D. C. Sheppard et al., Surf. Sc. 604, 1727-1732(2010). [4] A. Bondi, J. Phys. Chem. 68, 441-451(1964).

Figure 2. Chemisorption energy of thiolates at increasing thiolate content.

Figure 1. Hexanethiolate SAM structure as a function of coverage (top view).

0 2 4 6 8-200

-180

-160

-140

-120

-20

0

c(2x2

)

c(2x6

)

(2x2)

c(4x4

)

MethanethiolateEthanethiolateHexanethiolate

Che

mis

orpt

ion

Ener

gy (k

J/m

ol)

Content (1014 thiolates/cm2)

(4x4)

Page 23: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Vapor Phase Thiol Self-Assembled Monolayers for DoD ASD.

Sebastiaan J. F. Herregodsa+, Tinne Delandea, Zsolt Tokeia, Herbert Struyfa, Silvia Arminia

a Imec, Kapeldreef 75, 3100 Leuven, Belgium Downscaling of microelectronics components below 1x nm critical dimensions, makes top down fabrication by conventional optical lithography patterning more and more challenging due to alignment issues and the numerous process steps involved in the fabrication. Bottom up manufacturing of multilayer patterned features by Area Selective Deposition (ASD) is thought to be an interesting alternative to improve overlay for patterning at smaller dimensions[1]. In the current research, the use of Self-Assembled Monolayers (SAMs) is investigated in order to passivate a copper surface and thus blocking film growth during the subsequent Atomic Layer Deposition (ALD) step. ASD of Dielectrics on Dielectrics (DoD) enabled by n-undecanethiol (UDT) SAM passivation from the vapor phase is studied[2]. Both ALD blocking results on blanket Cu and ASD tested on Cu/SiO2 nanopatterns will be presented. Figure 1 shows the RBS analysis to detect Hf areal concentration on blanket SiO2 and UDT passivated Cu after HfNx ALD at 120 °C (GPC = 0.22 nm on SiO2). Figure 2 shows the AFM and TDSEM characterization of 8.8 nm ASD HfNx tested on Cu/ SiO2 lines (Cu CD = 160 nm, pitch = 500 nm). However, undesired passivation at the edge of the SiO2 growth surface and a very high line edge roughness (LER) is observed (figure 2, left). This issue is overcome by a forming gas treatment in-between UDT passivation and ALD. This post-SAM treatment results in a drastic decrease of the extension of the undesired passivation area at the edge of the SiO2 growth surface and in an improved LER (figure 2, right). The possible mechanism of the SiO2 poisoning will be investigated by studying the in-situ interaction of UDT with the half cycles of the ALD HfNx process.

+ Author for correspondence: [email protected] [1] A. J. M. Mackus, A. A. Bol and W. M. M. Kessels, Nanoscale 6, 10941-10960 (2014). [2] L. Lecordier et al., Journal of vacuum science and technology A 36, 031605-1-8, (2018).

Figure 2: AFM (top) and TDSEM (bottom) of Cu/SiO2 (CD = 160 nm, Pitch = 500 nm) after UDT passivation

and 40 cycles HfNx ASD, without (left) and with a forming gas treatment in-between passivation and ALD.

Figure 1: RBS of HfNx ALD on UDT-Cu and SiO2.

HfNx on SiO2

Cu

Cu

HfNx on SiO2

Page 24: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Dielectric on Dielectric Area-Selective Deposition by a Combination of Atomic Layer Deposition and Organic Film

Passivation for Self-Aligned Via Patterning.

M. Pasquali†‡, S. De Gendt†‡, S. Armini‡ † Department of Chemistry, Faculty of Science, KU Leuven, Leuven 3000, Belgium.

‡ Semiconductor Technology and System, IMEC, Heverlee 3001, Belgium. S. Deng§, G. A. Verni§, A. Illiberi§, M. Givens§§

§ ASM Belgium, Kapeldreef 75, Leuven 3000, Belgium §§ ASM Microchemistry, Pietari Kalmin katu 3F2, Helsinki 00560, Finland

To enable the downscaling of Integrated Circuit (IC) components accordingly to Moore’s law, novel nano-patterning techniques and materials are essential. Self-Aligned patterning schemes, such as Area Selective Deposition (ASD), are receiving increasing attention due to challenges created by the continuous shrinking of critical dimensions (e.g. self-aligned vias to lines in multi-level interconnect architectures). In ASD, a given film is deposited exclusively on the desired growth area with respect to the non-growth area. Typically, this can be achieved by exploiting material properties, such as surface chemical composition variations, in combination with surface-sensitive deposition techniques, such as Atomic Layer Deposition (ALD). In addition, the ALD self-limiting half-cycle surface reactions provide a layer-by-layer growth mechanism, which is strongly dependent upon the chemical reactivity to the underlying layer. Intrinsic growth nucleation variations on different surfaces can be exploited in an ASD scheme, but this effect is typically limited to very few ALD cycles. In this talk, Self-Assembled Monolayers (SAMs) are evaluated as a metal passivation coating to extend the ASD process window. Specifically, a SAM derived from 1-octadecylthiol (ODT) precursor is tested on Cu/SiO2 patterned substrates (Fig. 2a) in order to be selectively grafted on the Cu with respect to the SiO2 surface (Fig. 2b). A selective ALD Al2O3 film confined to the non-passivated SiO2 material (Fig. 2d) is demonstrated up to 6nm thickness. In Fig. 1 the cross-sectional transmission electron microscopy (TEM) and energy dispersive X-ray spectroscopy (EDX) images of the 50nm HP structures are presented. An ~6nm thick layer of Al2O3 film is selectively deposited on the SiO2 lines. Top-down scanning electron microscopy (SEM) images were taken prior to and after the acetic acid cleaning (not shown). Upon post-ALD cleaning the defect density observed on the Cu area decreases from 15.25 to 0.09%.

Figure 2 Schematic representation of self-aligned pattering scheme. (a) Cu/SiO2 patterned substrate.

(b) Selective Cu passivation thorugh ODT chemisorption. (c) Al oxide ALD. (d) Post-ALD

cleaning.

Figure 1 (a) Cross-sectional TEM image, (b) Cross-sectional EDX elemental mapping on 50nm HP

Cu/SiO2

Page 25: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Nucleation of Binary and Ternary Oxides and Metals During AS-ALD with Applications for Metal and Crystalline Films

Xin Yang,a Himamshu C. Nallan,a Brennan M. Coffey,a Pei-Yu Chen,a John G.

Ekerdt,a,+ a University of Texas at Austin, 200 East Dean Keeton Street, Austin, Texas 78712, USA

This talk will discuss passivation strategies based on self-assembled alkylmonochlorosilanes (SAMs) and polystyrene-based polymer films. We have reported how AS-ALD of TiN differs on planar and non-planar surfaces. Self-assembled monolayers form defects at regions of high curvature enabling nucleation of TiN films in ALD. This is in contrast to a treated planar surface with no features, which exhibits complete blocking of TiN up to a certain limit of ALD cycles [1]. We will present studies over features with differing degrees of curvature, including pillars, triangular posts and AFM tips that seek to quantify the curvature at which SAMs fail in TiN ALD on oxide substrates passivated with SAMSs. We have also reported AS-ALD of CoO on polystyrene-patterned SiO2/Si and MgO(001) substrates; features ranged from microns to nanometers. After CoO reduction the patterned cobalt metal has the same magnetic properties as blanket Co films [2]. The polystyrene was patterned using UV-crosslinked 40-nm PS films or using self-assembled 50-nm polystyrene-polymethylmethacrylate films. The unexposed PS in UV-crosslinked PS films was dissolved away with toluene. In both patterning approaches an oxide surface was exposed upon which CoO grew. The chemistry of CoA ALD was quite forgiving of the interface quality. We extend the study of PS passivation to Pd and BaTiO3 ALD. We report on how the chemical and structural quality of the substrate after removing the polystyrene affects the ALD of the metals and in the case of BaTiO3 the subsequent surface phase epitaxy during annealing (Figure 1). Pd ALD and surface phase epitaxy are sensitive to the quality of the growth substrate.

TOF-SIMS profiles for the SrTiO3 substrate (Sr+), the BaTiO3 that was deposited and crystallized (Ba+) and an overlay of the ion signals. The image along the top shows process steps that led to the 12 nm BTO(001) film imaged with TOF-SIMS.

+ Author for correspondence: [email protected] [1] S. N. Chopra, Z. Zhang, C. Kaihlanen, J. G. Ekerdt, Chem. Mater. 28, 4928 (2016). [2] Z. Zhang, T. Dwyer, S. M. Sirard, J. G. Ekerdt, J. Vac. Sci. Technol. A 37, doi:10.1116/1.5066437 (2019).

Page 26: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Self-Assembled Monolayers as ALD Ru growth inhibitor in an area-selective bottom-up metallization scheme

I. Zyulkov,a,b V. Madhiwala,c , S. Arminib, S. De Gendta,b

a KU Leuven, Department of Chemistry, Faculty of Science, B-3001 Leuven, Belgium b Imec, Kapeldreef 75, B-3001 Leuven, Belgium

c TU Chemnitz, Faculty of Electrical Engineering and Information Technology, 09107 Chemnitz, Germany

In order to decrease the metal line resistance and prevent IC failure due to the Cu electromigration, replacement of Cu interconnects by an alternative metal will be required beyond 32 nm metal pitch. Based on recent studies, Ru has several advantages when compared to Cu, which make it an attractive candidate for the Cu replacement. These advantages are: i) lower thickness dependence of the resistivity due to a shorter electron mean free path (6.6/4.9 nm for Ru vs 39.9 nm for Cu), ii) higher melting temperature (2334 ºC for Ru vs 1032 ºC for Cu) which represents better Ru resistance to electromigration and iii) possibility of integration without a diffusion barrier, resulting in a larger effective metal area. However, conventional electrochemical and electroless deposition methods used in IC manufacturing are not (yet) available for the Ru metallization. Additionally, downscaling of the metal structures down to 10 nm causes metal line filling issues even using conformal ALD, since seams are likely to be formed due to trench pinch-off. As a solution, area selective deposition (ASD) can be exploited, allowing bottom-up and void-free filling of high aspect ratio structures. As such, ASD of Ru can find applications in advanced patterning schemes. This work is focused on ASD of Ru in the via area of the interconnect structure. In this case ALD selectivity to metallic via bottom (underlying metal line – growth surface) should be achieved with respect to organosilicate glass (OSG) via sidewalls (non-growth area). In this work, thermal ALD Ru using an ethylbenzene-ethyleyelohexadiene (EBECHRu) precursor with O2 co-reactant was used. The precursor molecule has ethyl-cyclohexadienyl ligands, which are shown to have a preferential interaction/ inhibition with specific surface groups. In order to passivate the hydroxy-terminated OSG sidewalls against the Ru deposition different siloxane derived self-assembled monolayers (SAMs) were used. According to RBS and SEM analysis, (3-trimethoxysilylpropyl)diethylenetriamine (DETA) SAMs provide more than 300 cycles inhibition of the ALD Ru growth on Si oxide and OSG, which corresponds to ~ 10 nm of Ru on Cu. XPS, FTIR and spectroscopic ellipsometry on the SAM film before and after ALD are compared in order to identify relevant selectivity mechanisms. In order to prevent DETA passivation of the Cu interface where ALD Ru is expected to grow for the bottom-up via fill, selective Cu cleaning by acetic acid was used. Selective SAMs removal and area selective bottom-up ALD Ru tested in 45 nm half-pitch lines will be presented. + Author for correspondence: [email protected]

Page 27: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

The Effect of Atomic Oxygen on (3-trimethoxysilylpropyl)diethylenetriamine (DETA) Self

Assembled Monolayers

J. Bogan a, A. Brady-Boyd a, S. Armini b R. O’Connor a a School of Physical Sciences, Dublin City University, Glasnevin, Dublin 9, Ireland.

b imec, Kapeldreef 75, Heverlee, B-3001, Belgium.

In this work we present an in-situ study of the effect of atomic oxygen on DETA self-assembled monolayers (SAM). Several processes using oxygen-containing co-reactants have shown DETA-covered substrates to lose selectivity after a few hundred cycles [1]. In order to understand how reactive oxygen species interact with DETA (deposited on SiO2 substrate), we performed a number of small (100 L and 1000 L) exposures to atomic oxygen with x-ray photoelectron spectroscopy (XPS) measurements following each. The atomic gas exposure and XPS took place in the same vacuum chamber allowing for a detailed understanding of the chemical processes without atmospheric contamination. Figure 1 shows the C 1s spectrum for the as-loaded DETA on SiO2 with components attributable to the SAM backbone, the chemisorption of the SAM to the surface, and potentially un-reacted methyl groups. Upon exposure to atomic oxygen the main C 1s peak attributable to the SAM is significantly reduced and with a further 1000 L exposure the SAM is almost completely removed. During this process, the peak at higher binding energy suggests more C-O bonds are being formed. The N 1s spectra (not shown) display a similar trend with a reduction in the component attributed to the SAM and the formation of N-O species upon exposure to atomic oxygen. As the atomic oxygen interacts with the SAM and replaces C-N and C-C bonds with oxygen containing bonds, we see a corresponding, controlled stepwise reduction in the C 1s and N 1s signals from the SAM with continuing doses of atomic oxygen. The effect of molecular oxygen will also be discussed at the workshop.

296 294 292 290 288 286 284 282 280 278

Evidence of O bondedto C upon loading(unreacted methylhead groups? )

C-CH2-N and C-CH2-C

C-Si

C-O / C=O

3. 2nd 1000L Atomic Oexposure

2. 1st 1000L Atomic Oexposure

1. SAM on SiO2

as loaded

C 1s

Inte

nsity

(a.u

.)

Binding Energy (eV)

(MAIN SAM

COMPONENTS)

+ Author for correspondence: [email protected] [1] I. Zyulkov et al. 65th AVS Symposium, Long Beach, California, 2018.

Figure 2 Stepwise reduction in C1s and N1s signals during successive oxygen exposures

Figure 1 :C1s spectra of DETA during atomic oxygen exposure

Page 28: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

                                             

 

Oralpresentationabstracts–FridayApril5   

Page 29: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Selective Deposition Requirements for Patterning Applications

R. Freed, C. I. Lang, N. Alexis Applied Materials, Santa Clara, California

Continued scaling of memory and logic devices poses many challenges that cannot be solved through advanced lithography techniques alone. Even if the industry could overcome lithographic resolution limits and stochastic effects from EUV, device yield would still be primarily limited by edge placement error (EPE). These misalignments between layer pattern features are caused by compounding non-uniformity during the lithography, deposition, and etch steps. Multi-patterning techniques have enabled extremely small line widths through SAxP and LEx, but there are still many challenges to reduce LER/LWR, pitch walking, profile variation, and pattern loading that limit scaling. These persistent challenges provide an opportunity for materials-enabled solutions to facilitate device scaling. This talk will explore scaling trends for memory and logic devices, discuss process parameters impacting EPE, and demonstrate the role of materials engineering to allow further scaling, independent of patterning scheme. For DRAM and Logic, spacer-based patterning and self-aligned structures will be the key to facilitate scaling. Optimal selection of PR underlayer, mandrel, and spacer materials coupled with co-optimized deposition and selective etch process development can reduce pattern errors and overcome EPE. Etch selectivity and directionality are critically important to maintain the fidelity of the spacer sidewall while ensuring complete spacer removal in the gap and core areas. Spacer material engineering should therefore focus on materials with high selectivity in respect to the mandrel material, while at the same time enabling conformality by maintaining neutral stress within the spacer material. In addition to spacer-based patterning, novel process schemes can be used to create self-aligned structures with reduced sensitivity to overlay and process variation. These schemes can include various deposition techniques like ALD as well as selective removal techniques like dry selective etch and CMP. We will discuss several material systems and demonstrate their impact to further enable scaling while reducing EPE and improving patterning performance.

Page 30: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Surface-reactivity-determined Patterning Technology; The Era of Atomic Crafting

Han-Bo-Ram Leea

a Department of Materials Science and Engineering, Incheon National University, 119 Academy-ro, Yeonsu-gu, Incheon 22012

Area-selective atomic layer deposition (AS-ALD) is envisioned to play a key role in next-generation semiconductor processing and can also provide new opportunities in the field of electronic devices. Basics concept of AS-ALD is that an original surface is changed to activated and deactivated surfaces toward following ALD reactions, resulting in selective growth in one substrate. In most cases, deactivation of surface is a common way to control ALD growth, and special inhibitors for the surface deactivation have been proposed. In this presentation, various types of inhibitors reported will be reviewed and the next progress of AS-ALD for high volume manufacturing (HVM) will be discussed. Self-assembled monolayer (SAMs) is the first proposed material as an inhibitor of AS-ALD. AS-ALD using SAMs has been extensively studied in various kinds of ALD materials and has shown some feasibilities to block growth of ALD. Polymer layers have been proposed as another approach. However, SAMs and polymers have several disadvantages in compatibility for HVM, such as long formation time, complex process sequence, and clean removal. Recently, our group has developed an AS-ALD using precursor type inhibitors and shown feasibilities of blocking properties in Ru and Pt ALD systems. In the following work, we investigated surface reactions during the adsorption of inhibitor and blocking processes using water contact angle measurement, density functional theory (DFT), and X-ray photoelectron spectroscopy (XPS). The results could provide insights in the next generation patterning process using ALD.

+ Author for correspondence: [email protected]

Page 31: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Mechanism of precursor blocking by inhibitor molecules

M.J.M. Merkx,a D.M. Hausmann,b W.M.M. Kessels,a T.E. Sandoval,c A.J.M. Mackusa a Department of Applied Physics, Eindhoven University of Technology, Eindhoven, The

Netherlands b Lam Research Corporation, Portland, Oregon, USA

c Department of Chemical and Environmental Engineering, Universidad Técnica Federico Santa María, Santiago, Chile

Advancement of area-selective ALD requires understanding of the mechanisms involved in the loss of selectivity. One way of achieving area-selective ALD, is to selectively deactivate the substrate using inhibitor molecules in ABC-type cycles.[1] In this contribution, the influence of the inhibitor bonding configuration on precursor blocking will be discussed. The interactions between adsorbed acetylacetone (Hacac) and dosed precursor molecules (for SiO2 and Al2O3 ALD) are investigated using in situ Fourier transform infrared spectroscopy (FTIR) and density functional theory (DFT), in order to clarify what mechanisms cause a loss in selectivity. In situ FTIR measurements show that Hacac molecules do not all adsorb in the expected chelate configuration at high coverage, but a fraction adsorbs in a weakly-bonded monodentate configuration. A portion of the weakly-bonded Hacac molecules is lost when exposed to the precursor molecules, as shown in figure 1a, and the extent of this loss was found to correlate with the selectivity.

DFT reveals that the interaction between the Hacac molecule and the BDEAS precursor depends on the bonding configuration. There is no significant interaction between Hacac in chelate configuration and the precursor molecule (figure 1b) which explains the precursor blocking. Hacac adsorbed in monodentate configuration (figure 1c), however, was found to have an attractive interaction with the precursor molecule and therefore plays a large role in the loss of selectivity. Strategies for improving the selectivity based on these new insights will be discussed. [1] A. Mameli, M.J.M. Merkx, B. Karasulu, F. Roozeboom, W.M.M. Kessels, A.J.M. Mackus, ACS Nano 11, 9303-9311 (2017).

Figure 1: (a) FTIR difference spectra after the Hacac inhibitor and subsequent BDEAS precursor dose on Al2O3, showing a loss in adsorbed Hacac molecules as a result of the BDEAS dose. The Hacac spectrum is scaled for clarity. DFT reveals that (b) there is no significant interaction between Hacac in chelate configuration and the precursor, while (c) Hacac adsorbed in monodentate configuration has an attractive interaction with the precursor.

a) b) c)

Page 32: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Area-selective Ru-ALD by amorphous carbon modification using H radicals

I. Zyulkova,b, E. Voroninac,d, D. Voloshind, BT Chanb, Y. Mankelevichd,

T. Rakhimovad, S. Arminib, S. De Gendta,b. a KU Leuven, Department of Chemistry, Faculty of Science, B-3001 Leuven, Belgium.

b Imec, Kapeldreef 75, B-3001 Leuven, Belgium. c Lomonosov Moscow State University, Faculty of Physics, Leninskie Gory, GSP-1,

Moscow 119991, Russian Federation. d Lomonosov Moscow State University, Skobeltsyn Institute of Nuclear Physics, Leninskie

gory, GSP-1, Moscow 119991, Russian Federation.

Selective deposition of various materials on pre-defined areas on the substrate is of crucial importance nowadays for microelectronic technology. In our previous work on selective ALD Ru growth, we reported on passivation of amorphous carbon, simultaneously with an activation of Si-based dielectric surfaces in H2 plasma. [1] In this work, the mechanism of amorphous carbon (a-C) modification by hydrogen ions and radicals was investigated further using molecular density modelling. It is demonstrated that a-C modification by H radicals in the absence of H ions in the plasma chamber, significantly improves the ALD selectivity and reduces the number of defects on an a-C surface. H radicals treatment results in zero-damage of a-C and therefore it does not change the pattern profile nor aspect ratio. It provides strong chemical modification of the a-C surface, resulting in formation of =CH2/-CH3 surface groups. These surface groups block/delay the reaction with EBECHRu ALD precursor used in this work. This results in 10 nm Ru deposited (measured by RBS) on Si-based dielectric substrates (the growth surface), while no Ru can be detected on a-C (the non-growth surface). Low-temperature a-C modification by H radicals was also tested on patterned structures with 45 nm half-pitch a-C lines on SiCN surface. It is shown that ~ 3 nm Ru can be deposited selectively on SiCN at the bottom of the trench, while no Ru is present on a-C sidewalls. + Author for correspondence: [email protected] [1] I. Zyulkov, M. Krishtab, S. De Gendt, S. Armini, ACS Appl. Mater. Interfaces 2017, 9, 31031.

Page 33: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Advances and Challenges in Selective Deposition

Kandabara Tapily1, Shuji Azumo2, Shinichi Ike2, Yumiko Kawano2, Kai-Hung Yu1, Gyana Pattanaik1, Sophia Rogalskyj1, Danny Newman1, R. Clark1, Cory Wajda1, Takaaki

Tsunomura3, Gert Leusink1, Yusaku Kashiwagi2

1TEL Technology Center, America, LLC, 255 Fuller Road, suite 214, Albany, NY 12203, USA 2Tokyo Electron Technology Solutions, 650 Mitsuzawa, Hosaka-cho, Nirasaki City, Yamanashi,

Japan 3Tokyo Electron Limited, Akasaka Biz Tower, 5-3-1 Akasaka, Minato-ku, Tokyo, Japan

For the last few decades, the semiconductor industry has relied on the continued scaling down of the device feature size in order to improve performance and increase bit density. With the delay in implementation of extreme ultraviolet lithography (EUV) in high volume manufacturing,[1] patterning beyond the 14 nm technology node is getting extremely difficult to manage due to the overlay control and the increase in manufacturing cost due to multi-layer alignments. In order to keep scaling the device feature size, new patterning solutions or scaling booster are necessary such as selective deposition and selective etching of materials. Atomic layer deposition (ALD) has emerged as one of the leading film deposition techniques with excellent film control, uniformity and high conformality [2]. Furthermore, ALD is highly surface reaction driven and it is possible to modify the substrate surface to activate or deactivate growth on selected area hence selective-area ALD (SA-ALD). SA-ALD can simplify and reduce the high manufacturing cost associated with highly aggressive patterning schemes by eliminating certain lithography steps and can provide greater edge placement accuracy through self-alignment.

This talk will discuss the status and approaches of selective deposition technology, and challenges the industry is facing in implementing in future technologies. References: 1. J. Beynet, P. Wong, A. Miller, S. Locorotondo, D. Vangoidsenhoven, T.-H. Yoon, M. Demand, H.-S. Park, T. Vandeweyer, H. Sprey, Y.-M. Yoo and M. Maenhoudt, Proc. SPIE, 7520, 75201J (2009). 2. S. M. George, Chem. Rev. 110, 111 (2010).

Page 34: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Area Selective Deposition: how plasma can favor the topographically selective deposition on 3D substrate

C. Vallée,a,b M. Bonvalot,a R. Gassilloud,c V. Pesce,a,c A. Chaker,a S. Belahcen,a,c

N. Possémé,c B. Pelissier,c P. Gonon,a and A. Bsiesya aUniversité Grenoble Alpes, CNRS, LTM, F-38000 Grenoble France

bFaculty of Pure and Applied Science, University of Tsukuba, Tsukuba 305-857, Japan cCEA, LETI, Minatec Campus, F-38054 Grenoble, France

Several processes are considered within the words “Area Selective Deposition” [1,2]: material deposition only on one specific surface chemistry and not the others, a deposition on one crystalline structure/orientation and not the others, as well as a geometric selective deposition, also called Topographically Selective Deposition (TSD), with a deposition in one surface orientation and not the others. Two examples of topographically selective deposition (TSD) on a 3D shape substrate are illustrated figures 1 and 2.

Figure 1 – TSD at the walls of trenches Figure 2 – TSD at the bottom and top of trenches

This work focuses on how these 2 TSD examples can be obtained by developing original Plasma ALD process routes combining deposition and etching in a unique PEALD tool. We already used the deposition/etch approach for the ASD of TiO2 and Ta2O5 on flat and 3D substrates by adding NF3 in an oxygen ALD plasma [3,4]. Recently, we have shown that a topographically selective deposition can be easily obtained using ions from a plasma to obtain the architecture of the figure 1 [5]. In this case ions are used as an anisotropic etching material. Two other groups also used plasma ions to obtain this TSD result, but with a different approach: ions are used for the deactivation of a specific area [6] or to modify a thin film morphology making it easily removed by a post wet-etching step [7]. This shows that plasma ions in an ALD tool can be very useful for TSD processes. But this requires a specific design for the PEALD reactor. This will be discussed in detail in this paper. We will also show how plasma from the PEALD reactor can also be used for depositing material on top and bottom surfaces of a 3D patterned substrate as illustrated figure 2. + Author for correspondence: [email protected] [1] A. J. M. Mackus et al, Chem. Mater. 31 (2019) 2-12 [2] G.N. Pearsons, “ALD nucleation and Area-Selective Deposition”, tutorial of the ALD2018, Incheon [3] R. Vallat et al, J. Vac. Sci. Technol. A 35 (2017) 01B104 [4] R. Vallat et al, accepted in J. Vac. Sci. Technol. A (2019) [5] A. Chacker et al, Appl. Phys. Lett. 114 (2019) [6] W.-H. Kim et al, ACS Nano 10 (2016) 4451-4458 [7] T. Faraz et al, ACS appl. Mater. Interfaces 10 (2018) 13158-13180

Page 35: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Inductively coupled plasma-polymerized CFx inhibition layers for selective oxide and metal deposition

N. Biyikli,a

a University of Connecticut, Department of Electrical & Computer Engineering, 371 Fairfield Way, Storrs, CT 06269 U.S.A.

We have demonstrated a methodology to achieve area-selective atomic layer deposition (AS-ALD) by using inductively coupled plasma (ICP) polymerized fluorocarbon (CFx) films as hydrophobic blocking layers for selective oxide and metal deposition. Our approach has been tested for metal-oxides including ZnO, Al2O 3, and HfO 2, as well as noble metals including Pt and Pd. Plasma-polymerized CFx layers showed growth inhibition of ZnO, Pt, and Pd, exhibiting notable nucleation delays. Almost no selectivity was obtained for Al2O 3 and HfO 2 growth processes. Contact angle, X-ray photoelectron spectroscopy (XPS), and scanning electron microscopy (SEM) measurements were performed to investigate the blocking ability of polymerized fluorocarbon (CFx) layers against ALD-grown oxide and metal films. Self-aligned film deposition is verified using this strategy by growing ZnO, Pt, and Pd on microscale lithographically patterned CFx/Si samples. High resolution SEM images and XPS line scans confirmed the successful patterning of ZnO, Pt, and Pd up to film thicknesses of ∼15 nm.[1] Moreover, CFx blocking layer degradation during ozone plasma exposure was also analyzed for Pt growth experiments. Our work reveals that such polymerized fluorocarbon layers are compatible with ozone co-reactants as well, and could potentially be used for radical-assisted AS-ALD processes for a wider selection of materials.[2]

Fig. 1: Schematic representation of ZnO AS-ALD process utilizing plasma-polymerized

CFx inhibition layers

Fig. 2: SEM micrographs of self-aligned Pt and Pd deposition on

lithographically patterned CFx/Si templates

+ Author for correspondence: [email protected] [1] A. Haider, P. Deminskyi, T. M. Khan, H. Eren, N. Biyikli, J. Phys. Chem. C , 120, 26393 (2016). [2] P. Peminskyi, A. Haider, T. M. Khan, H. Eren, N. Biyikli, in preparation, (2019).

Page 36: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Formation and in-situ Characterisation of Metal Oxides from Infiltrated Polymers

M. Snelgrove,a J. Bogan,a P. G. Mani-Gonzalez,b R. Lundy,c P. Swift,a,d M. Morris,c G.

Hughes,a,d R. O’Connor, a a School of Physical Sciences, Dublin City University, Glasnevin, Dublin 9, Ireland

b Institute of Engineering and Technology, Department of Physics and Mathematics, Autonomous University of Ciudad Juárez, Cd. Juárez 32310, México c AMBER, Trinity College Dublin, College Green, Dublin 2, Ireland

d National Centre for Plasma Science and Technology, Dublin City University, Glasnevin, Dublin 9, Ireland

Metal salt inclusion is a wet chemistry process that involves the use of a polymer as a carrier of a metal contaminant for subsequent processing [1]. By exposing this infiltrated film to an oxygen-rich treatment, the polymer in the layer is removed and a stoichiometric metal oxide is formed. This area of research is of interest to the field of block copolymers as a route to area selective deposition, as combining accepting and rejecting polymers into complex patterns could potentially allow for the creation of nanofeatures, offering an alternative to the cost and size challenges faced in optical lithography patterning in the semiconductor industry [2]. This work focuses on the growth and characterisation of aluminum oxide films with a novel, in-situ oxygen plasma process, using infiltrated polymer samples as the starting material. Thin films of poly(2-vinylpyridine) infused with aluminum nitrate were analysed with XPS (figure 1), before being subject to a range of plasma processes at pressures of ~1×10-2 mbar. Subsequent XPS reveals the successful, complete removal of the polymer, with aluminum still residing on the surface. All analysis and treatments were completed without breaking vacuum in an integrated ultra-high vacuum setup.

+ Author for correspondence: [email protected] [1] C. Cummins and M. A. Morris. Using block copolymers as infiltration sites for development of future nanoelectronic devices: Achievements, barriers, and opportunities. Microelectron Eng., 195:74–85, 2018. [2] C. J. Hawker and T. P. Russell. Block Copolymer Lithography: Merging “Bottom-Up” with “Top-Down” Processes. MRS Bulletin, 30(12):952–966, 2005.

Figure 1: XPS of a sample pre and post an in-situ oxygen plasma treatment.

Page 37: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Selective area growth of diamond on ALD coated surfaces.

R. Ramaneti1,2, G. Degutis1,2, K. J. Sankaran1,2, P. Pobedinskas1,2, M. K. Van Bael1,2, K. Haenen1,2

1Inst. for Materials Research (IMO), Hasselt University, 3590 Diepenbeek, Belgium 2 IMOMEC, IMEC vzw, 3590 Diepenbeek, Belgium.

Diamond´s excellent properties make it a unique material that holds potential for a wide range of applications from high power-high frequency devices (single crystal diamond) to bio-sensing, and quantum sensing (optically active colour centers). The wide band gap, high thermal conductivity, and biocompatibility enables its use under extreme conditions (temperature, pressure, exposure to radiation). Significant strides have been made in the last 10 years in achieving high-quality nano to microcrystalline diamond growth at low temperatures and on large area substrates, fulfilling material processing criteria required in beyond CMOS technologies[1]. A major challenge to CMOS-diamond layer integration is the creation of diamond mesa structures on surfaces, eliminating a top-down approach requiring etching in lithographically processed diamond layers. A novel and pragmatic approach is to use atomic layer deposited (ALD) films of few nm thick (< 10 nm) which are integral to CMOS device passivation, providing conformal coverage on surfaces, while offering a pragmatic choice to achieve seamless diamond integration on CMOS technologies. In this work, we focus on the choice and surface chemistry of ALD deposited layers (e.g. AlOx, HfOx) deposited in Silicon substrates, the ability to selectively tune the layers for diamond nucleation, and subsequent growth of micro/nanostructures as shown in Fig.1. Such patterned diamond nano/microstructures have applicability in ion thrusters, as field electron emitters [2], and biocompatible medical devices [3].

+ Author for correspondence: [email protected] [1] S. Drijkoningen, et.al, Crystal growth and design, 17(8), p. 4306-4314, 2017 [2] R. Ramaneti, et.al . APL MATERIALS 5, 066102 (2017) [3] EP 18167416.9

Figure 1 Selectively area grown diamond structures on ALD coated substrates

Page 38: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Selective Epitaxial Growth

J. Tollea, J. Margetisa a ASM America, 3440 E. University Dr. Phoenix, AZ 85034, USA

Page 39: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Surface diffusion for defect mitigation during area-selective deposition of Ru

J. Soethoudt,1,2 F. Grillo3,4, E. Marques2,3, J. R. van Ommen3, H. Hody2, A. Delabie1,2

1 Department of Chemistry, KU Leuven, Leuven, 3001, Belgium 2 Imec, Kapeldreef 75, 3001, Leuven, Belgium

3 Department of Chemical Engineering, TU Delft, 2629 HZ, Delft, The Netherlands 4 Department of Materials, ETH Zurich, 8093 Zurich, Switzerland

+ Author for correspondence: [email protected]

Area-Selective Deposition (ASD) has received growing interest for several applications, including nanoelectronics and catalysis [1]. However, industrial uptake of ASD processes for nanoelectronics has been limited by defectivity, originating from growth in the areas where no growth is desired. Insight into growth mechanisms could prove crucial for the development of strategies to mitigate this defectivity. This work shows that processes with different growth mechanisms require different defect mitigation strategies for ASD. Growth mechanisms are investigated for two different deposition processes in a combined theoretical/experimental approach. Ru ASD on TiN vs dielectrics is investigated as a model system, which is relevant for both patterning and interconnect applications. For (ethylbenzyl)(ethylcyclohexadienyl)Ru/O2 atomic layer deposition at 325°C, deposition on dielectrics proceeds as island growth, and the particles initially grow only by surface diffusion and coalescence [2]. As the catalytic decomposition of O2 on Ru particles requires a minimum particle size of ~0.85nm; the small initial particles are not reactive towards the incoming reagents. Defect mitigation for this process was achieved by keeping the nanoparticles on the dielectric below critical size by limiting surface diffusion, which facilitates defect removal by a post-deposition isotropic etch. For (carbonyl)- (alkylcyclohexadienyl)Ru/H2 chemical vapor deposition at 250°C, deposition on dielectrics also proceeds as island growth, yet in this case the growth is more strongly diffusion-mediated. Rather than limiting the surface diffusion, diffusion is instead used to move material from the non-growth to the growth surface, simultaneously removing defects from the dielectric and increasing the amount of material on TiN. When using this approach on TiN/SiO2 nanopatterns, no defects are observed on dielectric surfaces adjacent to a metallic growth surface as Ru aggregates on the metal (Figure 1). Defects can however be trapped on a dielectric surface by diffusion obstacles such as pattern corners, illustrating the impact of topography and pattern design on ASD. This work shows how differences in growth mechanisms between processes can lead to rational design of defect mitigation strategies for ASD.

[1] A. J. M. Mackus et al., Nanoscale 6, 10941(2014). [2] J. Soethoudt et al., Adv. Mat. Int. 5(24), 1800870(2018).

Figure 1: Cross-section Scanning Electron Micrograph (SEM) of Ru ASD on TiN/SiO2 using defect mitigation by employing surface diffusion

Page 40: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Scatterometry and AFM measurement combination for Area Selective Deposition process characterization

Mohamed Saiba, Alain Moussaa, Anne-Laure Charleya, Philippe Leraya, Joey Hungb, Roy Koretb, Avron Gerb, Shaoren Dengc, Andrea Illiberic,

Jan Willem Maesc, Gabriel Woodfordd and Michael Straussd a imec, 3001 Leuven, Belgium

b Nova Measuring Instruments, LTD, P.O. Box 266, Weizmann Science Park, Rehovot 76100, Israel

c ASM Belgium, Kapeldreef 75, Leuven, Belgium d Thermo Fisher Scientific, 5350 NE Dawson Creek Drive, Hillsboro, OR 97124, USA

Area Selective Deposition (ASD) is a promising technique for advanced patterning of multilayers semiconductor devices because it does not cause misalignment issues. With ASD, the material is selectively deposited on desired areas of the sample surface. In general, the sample surface before deposition is composed of 2 materials A and B which will define the areas where the material of interest is grown or not. ASD process control implies accurate characterization of the materials deposited on surface A and B. This requires, first a good measurement capability to quantify the geometry of the deposited layer. And second, a proper assessment of the process selectivity. In this work, we show how to combine two complementary measurement techniques to overcome to their individual inherent limitations [1] for ASD applications. Scatterometry, first selected measurement technique, has a high sensitivity to dimensional features and material. However, this technique does not provide local information as it gives an averaged signal over the spot size. To obtain local information about ASD performance, Atomic Force Microscopy (AFM) metrology has been used. AFM is a high-resolution scanning probe microscopy technique which delivers topography details of the analyzed surface such as step height and roughness. A full description of the roughness properties is provided by the power spectral density (PSD), which performs a frequential decomposition of the topography profile. PSD analysis on AFM images can be used to quantify roughness changes due to ASD process. In particular, it is a powerful technique to identify undesired material deposition in the non-growth area and to characterize process selectivity through the comparison to a reference sample. An experimental validation of the scatterometry and AFM techniques for ASD applications has been done on dielectric on dielectric type of ASD process (DoD). We successfully combined complementary scatterometry and AFM metrologies to analyze ASD processes and investigate process selectivity and lateral growth. Scatterometry gave us access to the geometry of the deposited dielectric layer by the measurement of its thickness and lateral growth. To complement scatterometry, PSD analysis on AFM images has been implemented. This approach is powerful since allows to measure down to very small changes in the process selectivity. [1] A. Vaid, B. B. Yan, Y. T. Jiang, M. Kelling, C. Hartig, J. Allgair, P. Ebersbach, M. Sendelbach, N. Rana,

A. Katnani, E. Mclellan, C. Archie, C. Bozdog, H. Kim, M. Sendler, S. Ng, B. Sherman, B. Brill, I. Turovets, R. Urensky, “A Holistic Metrology Approach: Hybrid Metrology Utilizing Scatterometry, CD-AFM and CD-SEM”, Proc. of SPIE Vol. 7971, 797103 (2011)

Page 41: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

                                             

 

Posterpresentationabstracts

Page 42: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Study of Selective PECVD of Silicon on Silicon Nitride and Aluminum Oxide

Ghewa Akiki,a Pavel Bulkin,a Dmitri Daineka,a Daniel Suchet,a and Erik V. Johnsona

a LPICM-CNRS, Ecole Polytechnique, route de Saclay, 91120 Palaiseau, France

The use of non-sinusoidal voltage waveforms to excite a plasma has been deployed in the past to produce an electrode-selective silicon deposition process [1], determined solely by the shape of the waveform. We aim to use this property of such plasma excitation to achieve a surface selective deposition, in analogy with the ALD-based approach. That approach is based on using an initial nucleation delay between two different substrates, as well as a "passivation" step, namely a plasma etching step that resets the nucleation delay for one surface [2]. As a building block to our Plasma Enhanced Chemical Vapor Deposition (PECVD) based approach, we are working to investigate the nucleation delay of a PECVD process for microcrystalline silicon films on two different substrates, first using a standard 13.56 MHz radio frequency excitation source. The use of a fluorinated source gas, SiF4, is believed to be a key ingredient to creating a varying nucleation delay. (The growth mechanism and techniques of this chemistry are detailed in reference [3]). Preliminary results using this chemistry have shown deposition on a blanket Silicon Nitride (SiNx) surface, without any deposition occurring on Aluminum Oxide (AlOx) coated substrates. The analysis is accomplished by comparing ex-situ ellipsometry spectra before and after deposition (see Fig.1). The deposition selectivity on a patterned chip containing both SiNx and AlOx areas, as well as the influence of the plasma parameters, will be presented.

+ Author for correspondence: [email protected] [1] J. Wang and E.V. Johnson, Plasma Sources Sci. Technol. 26 (2017) 01LT01 [2] R. Vallat, R. Gassilloud, B. Eychenne, and C. Vallée, J. Vac. Sci. Technol. A 35, 01B104 (2017) [3] Dornstetter JC, Bruneau B, Bulkin P, Johnson EV, Roca i Cabarrocas P, J. Chem. Phys. 140, 234706 (2014).

Figure 1 Comparison of ellipsometry spectra for (a) Aluminum Oxide and (b) Silicon Nitride substrates before (solid line) and after (dotted line) microcrystalline silicon

film deposition

Page 43: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Area-selective deposition of MoO3 thin films by atomic layer deposition

Julie Nitsche Kvalvik, Per Anders Hansen and Ola Nilsen

The toolbox for depositing MoO3 thin films by atomic layer deposition has been limited to precursors

decomposing at temperatures lower than 200 oC1, plasma-enhanced processes2 or processes

requiring heating of the precursors under inert conditions3, which can prove challenging in practice.

We here report the deposition of MoO3 using MoCl4O as a Mo-source, and co-pulsing of ozone and

water as anion. The growth of MoO3 using this process is inherently substrate selective, growing well

on conventional glass and LiF-coated substrates. However, no signs of film growth could be detected

with on LiPO, Si(100) with native oxide layer, CaCO3, Na2CO3 or silica substrates. Growth rates of 0.72

Å/ cycle and 0.69 Å/ cycle were achieved using a deposition temperature of 300 oC and 1000 cycles,

on glass and LiF respectively. The process is not linear with an increasing number of cycles, and

increasing the purging times increases film growth, both untypical traits of well-behaving ALD-

processes. This combined with the fact that XPS results show a presence of Na+ in the films deposited

on glass and Li+ on the films deposited on LiF, indicates that the growth is aided by diffusion of Li+ or

Na+ from the substrates to the MoO3 film. Through this study we have found a novel path of

depositing MoO3 selectively on glass and LiF, and found a new Mo-precursor also suitable for usage

in ternary films where deposition temperatures above 200 oC may be required because of the

complementary process.

1. Diskus, M.; Nilsen, O.; Fjellvag, H., Growth of thin films of molybdenum oxide by atomic layer deposition. Journal of Materials Chemistry 2011, 21 (3), 705-710. 2. Vos, M. F. J.; Macco, B.; Thissen, N. F. W.; Bol, A. A.; Kessels, W. M. M., Atomic layer deposition of molybdenum oxide from (NtBu)2(NMe2)2Mo and O2 plasma. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 2016, 34 (1), 01A103. 3. Bertuch, A.; Sundaram, G.; Saly, M.; Moser, D.; Kanjolia, R., Atomic layer deposition of molybdenum oxide using bis(tert-butylimido)bis(dimethylamido) molybdenum. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 2014, 32 (1), 01A119.

Page 44: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Area-Selective Deposition of Micro Solar Cells for Higher Power Conversion Efficiency

D Siopaa, P. Anacletob, S. Sadewasserb, P. J. Dalea

a Physics and Materials Science Research Unit, University of Luxembourg, 41 Rue du Brill, L-4422, Belvaux, Luxembourg

b INL – International Iberian Nanotechnology Laboratory, 4715-330 Braga, Portugal

Photovoltaics (PV) will play a role in the transition from a carbon-based energy supply to a renewable energy supply. Today, commercial PV modules are made of wafers or metre square thin films, achieving light to electric power conversion efficiencies of ~ 16-20%. If the efficiencies were higher, the energy transition would occur quicker. One option to increase a solar cells efficiency is to concentrate light onto its surface reducing the necessary cell size, eventually down to the tens of microns scale. To fabricate such small cells with high material utilization, we use area-selective electrodeposition (ASED). Thin film solar cells based on Cu(In,Ga)Se2 absorber layers consist of seven layers, and here we investigate the ASED of the absorber layer into arrays of micro dots with differing sizes. A SiO2 layer patterned with holes sitting on the surface of a molybdenum film defines the size of the cells and the distance between them. The absorber layer is formed by electrodepositing a layer of copper into the holes of the SiO2 layer, followed by an indium and gallium layer. This stack is then annealed in selenium vapor to form Cu(In,Ga)Se2, which is monitored by Raman and photoluminescence spectroscopies. The full solar cell is completed by the addition of conducting oxide layers on top. An array of cells is measured to have an efficiency of 3.5% with a single best cell efficiency of 4.8%. Current voltage curves performed with up to 100× the normal sun irradiance show that the micro solar cells improve their efficiency under light concentration. These proof-of-principle devices and measurements demonstrate the high potential of the micro-concentrator solar cell approach. In order to build on this initial success, we investigate the electrodeposition processes in detail, since the quality of the absorber layer depends on the uniformity of the deposited layers. Any deviation in thickness will lead to changes in the stoichiometry of the Cu(In,Ga)Se2 absorber, giving rise to undesirable opto-electronic properties. The deposition rate and morphology of the copper dots depends on the size of the dots and the plating bath composition. We find the uniformity appears to correlate with the diffusion length of the copper ion species in solution.

+ Author for correspondence: [email protected]

Page 45: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

1D TiO2 Nanotube Layers: Excellent Platform for Area-Selective ALD

R. Zazpe,a,b J. Prikryl,a J. M. Macak,a,b

a Center of Materials and Nanotechnologies, Faculty of Chemical Technology, University of Pardubice, Nam. Cs. Legii 565, 530 02 Pardubice, Czech Republic

b Central European Institute of Technology, Brno University of Technology, Purkynova 123, 61200 Pardubice, Czech Republic

The self-organized TiO2 nanotube layers have attracted considerable scientific and

technological interest over the past 10 years, which are motivated for their possible range of applications including photo-catalysis, solar cells, hydrogen generation and biomedical uses [1]. The synthesis of 1D TiO2 nanotube structure is carried out by a conventional electrochemical anodization of Ti sheet.

One of the major challenges to extend the functional range of nanotubes is to coat homogenously tube interiors by a secondary material. It has been shown that additional ultrathin surface coating of TiO2 by secondary materials such as Al2O3 [2], ZnO [3] or MgO [4] annihilates electron traps at the TiO2 surface and thus increases the concentration of the photogenerated charge carriers.

The presentation will focus in detail on the coating of the nanotube arrays by various secondary materials using ALD. The deposited materials influence strongly photo-electrochemical properties of nanotube layers. Experimental details and some very recent photocatalytic [5, 6], catalytic [7], sensing [8] and solar cell [9, 10] results will be presented and discussed.

The architecture of the nanotubes would profit from the area-selective ALD for various purposes, including catalysis, solar cells, etc. These possibilities will be outlined and discussed.

References: 1. J. M. Macak et al., Curr. Opin. Solid State Mater. Sci. 3, 1-2 (2007). 2. R. Zazpe et al., Langmuir. 32, 10551–10558 (2016). 3. A. Ghobadi et al., Sci. Rep. 6, 30587 (2016). 4. H. Park, et al., J. Electroceram. 23, 146-149 (2009). 5. H. Sopha et al., Appl. Mater. Today 9, 104-110 (2017). 6. S. Ng et al., Adv. Mater. Interfaces. 1701146 (2017). 7. J. Yoo et al. Electrochem. Commun., 86, 6-11 (2018). 8. S. Ng et al. Adv. Eng. Mater. 20, 1700589 (2018). 9. M. Krbal et al., Nanoscale 9, 7755-7759 (2017). 10 R. Zazpe et al, Nanoscale 10, 16601-16612 (2018).

+ Author for correspondence: [email protected]

Page 46: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Controlled Deposition of Polymer Brush Films for Selective Area Deposition Applications

P. Yadav,a R. Lundy,a C. Cummins,b T. O’Mahony,a M. Snelgrove,c M.A. Morris,a+

a School of Chemistry, AMBER@CRANN, Trinity College Dublin, Dublin, Ireland b Institute of Chemistry of Condensed Matter of Bordeaux, University of Bordeaux

c Dept. of Physics, Dublin City University, Dublin, Ireland

Area selective deposition is a promising technique for self-aligned deposition of materials for use in the semiconductor industry. Accurate placement of a particular material set on a defined substrate pattern is challenging. Coating a substrate with a polymer brush has shown to be highly effective for selectively blocking areas to allow selective deposition across the substrate [1]. Controlling the polymer chemistry can allow the blocking of a substrate area or even function as a material infiltration site. To produce an industry ready brush process, several challenges must be met. We report on uniform thin film formation (~ 4 nm) on substrates using hydroxy-terminated polyvinyl pyridine (P2VP-OH) and polystyrene (PS-OH) - Fig 1 (a). We describe the influence of molecular weight, solution concentration, solvent selectivity, process time and temperature on the final film formation eg. Defects and brush coverage - Fig. 1 (b). A simple technique to describe brush coverage across the substrate is presented. Vapor and liquid phase material infiltration (alumina, copper oxide and titania) into the brush films is demonstrated with a reduction process for producing base metals. [1] C. Cummins and M. A. Morris, J. Phys. Chem. C, vol. 122, no. 26, pp. 14698–14705, Jul. 2018.

+ Author for correspondence: [email protected]

Figure 1 (a) SEM of a uniform polymer film (TEM inset) deposited by controlling process parameters and (b) a polymer film with poor coverage and defects.

Page 47: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

A Transformative Technology for Area Selective Deposition

S. A. Ding, F. Li, K. Xiong, Y. Zhang, H. Yang SuZhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, China

The process of area selective deposition has been attracting more and more attentions in device fabrication, such as, gate dielectric deposition in enhanced-HEMT structure and p-type barrier layer regrowth in GaN based laser diode. However, there are still many related issues need to be deeply understand, in particular, the surface defects,chemical states and initial growth model in the selected area. In this talk, we are going to introduce a newly built UHV-interconnected R&D open facility (Nano-X), which is powerful for multiple growths and “in situ” processing and characterizations. As shown in the figure below, the Nano-X is divided into four function zones, with about 30+ tools connected together through 100 meters of UHV tubes. The material fabrication chambers are connected to various analysis chambers where structural as well as functional characterizations can be conducted. Moreover, deposition of different materials that need different deposition processes can be done in order to create multi-material samples. This means that as-deposited samples can be transported from the growth chambers to either one of the analysis chambers in an environment with a base pressure better than 2X10-10 Torr, which protects them from oxidation or other structural or chemical changes related to atmosphere exposure. As the unique feature of this system, some key processing tools have been upgraded to UHV background and connected to the tubes, such as, metal deposition and ICP-etching tools. In this way, basic patterns could be formed by ICP-etching for area selective depositions, with multiple surface analyses together under one vacuum environment. It is also possible to conduct “in-situ” surface cleaning and passivation before films deposition of Sputtering, ALD and/or CVD. Therefore, the surface and interface of devices could be well controlled or modified.

Page 48: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

A combined theoretical and experimental study of FeOx selective ALD growth on Pt nanoparticles

Jiaming Cai,1 Kun Cao1, Yanwei Wen2, Bin Shan2, Rong Chen1*

1 School of Mechanical Science and Engineering, State Key Laboratory of Digital Manufacturing Equipment and Technology

2 State Key Laboratory of Material Processing and Die and Mould Technology and School of Materials Science and Engineering

*Huazhong University of Science and Technology,1037 Luoyu Road, Wuhan, Hubei PR China 430074

E-mail: rongchen@ hust.edu.cn.

Recent experiments about the selective coating of transition metal oxides on Pt nanoparticles have aroused great interests in catalysis for the promotion of both activity and stability. It’s worth noting that the experiments demonstrate that some metal oxides can be deposited on the desired sites of seed materials by selective ALD. Herein a first-principles calculations combined with micro-kinetics methods are employed to shed light on the selective growth mechanism of FeOx on Pt nanoparticles in atomic layer deposition from the Fe(Cp)2 precursor. The Fe(Cp)2 precursor decomposition on the surface of Pt nanoparticles exhibits preferential growing following the order of edge sites> (100) facets> (111) facets, which indicates that edges are naturally selected to be covered and the (111) facets could be inactive towards the Fe(Cp)2 precursors. The theoretical predictions about the selective growth of Fe(Cp)2 are confirmed by the Fourier transform infrared (FTIR) measurements of CO chemisorption on successive ALD coated Pt nanoparticles. On the other hand, the selective growth of FeOx using t-butyl ferrocene precursor is also studied using in-situ spectroscopic ellipsometry and FTIR. The combination of theoretical and experimental study demonstrates the selective growth of FeOx on Pt nanoparticles, which may open up new approaches for the design of metal-oxide composite catalysts.

Page 49: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Seed-layer free ALD Growth of Al2O3 dielectric layer by selective nucleation on monolayer graphene epitaxially

fabricated on silicon carbide

E. Schilirò1,a R. Lo Nigro2,a F. Giannazzo3,a S. Agnello4,b B. Pecz5,c I. G. Ivanov6,d R. Yakimova7d

a CNR-IMM, Strada VIII, 5 95121, Catania, Italy

b Department of Physics and Chemistry, Palermo University, Via Archirafi 36, 90143 Palermo, Italy

c MFA-Centre for Energy Research, HAS, 1121 Konkoly-Thege 29-33, Budapest, Hungary d Department of Physics, Chemistry and Biology, Linköping University, Linköping SE-58183,

Sweden.

Atomic layer deposition (ALD) is the method of choice to obtain uniform and conformal insulating films on graphene for device applications [1]. Typically, the nucleation oxide layers is promoted by the direct functionalization of graphene surface or pre-deposition of a seed-layer, which in turns, often affect the graphene electrical properties [2]. Hence, ALD of dielectrics on graphene without pre-functionalization and/or seed-layers is highly desirable. In this work, uniform and conformal Al2O3 films were obtained by seed-layer-free thermal ALD at 250°C on highly homogeneous monolayer (1L) epitaxial graphene (EG) grown on-axis 4H-SiC(0001). The enhanced nucleation behavior on 1L graphene is related to the peculiar EG/SiC interface. Nanoscale current mapping by conductive atomic force microscopy showed the formation of Al2O3 films with highly uniform insulating properties (breakdown field >8 MV/cm). The same was not possible to obtain on 2L graphene substrates. These results have been also corrodorated by ab-initio DFT calculations demostranting an enhanced adsorption energy for water molecules on highly n-type doped monolayer graphene, as the origin of the excellent Al2O3 nucleation [3].

+Author for correspondence: [email protected] [1] R. H. J. Vervuurt, W. M. M. Kessels, A. A. Bov, Adv. Mater. Interfaces 4, 1700232 (2017). [2] G. Fisichella, E. Schilirò, S. Di Franco, P. Fiorenza, R. Lo Nigro, F. Roccaforte, S. Ravesi, F. Giannazzo, ACS Applied Materials & Interfaces 9, 7761 (2017). [3] E. Schilirò, R. Lo Nigro, F. Roccaforte, I. Deretzis, A. La Magna, A. Armano, S. Agnello, B. Pecz, I. G. Ivanov, R. Yakimova, F. Giannazzo, Adv. Interf. Mater., submitted

Figure 1 Cross-sectional TEM image of the Al2O3 film deposited on 1L EG on SiC

Figure 2 AFM map showing uniform Al2O3 coverage on 1L graphene and small

depressions on 2L graphene (white circles).

Page 50: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Angle-Resolved HAXPES analysis of AlxOy and CuxOy layers formed by metal salt diffusion into a poly 2-vinylpyridine (P2vP)

polymer layer P. G. Mani-Gonzalez,*a,b M. Snelgrove,b R. O’Connor, b J. Bogan,b R. Lundy,c M.

Morris,c G. Hughes.b a Institute of Engineering and Technology, Department of Physics and Mathematics,

Autonomous University of Ciudad Juárez, Cd. Juárez 32310, México b School of Physical Sciences, Dublin City University, Glasnevin, Dublin 9, Ireland

c AMBER, Trinity College Dublin, College Green, Dublin 2, Ireland

This work is motivated by the desire to develop a semiconductor device patterning technology based on precursor infiltration into block copolymer materials. Developing an understanding of the preferential infiltration of metal precursors into one of the polymer blocks is of critical importance to advance this patterning approach. In this study, metal salts were used as a means to diffuse metal ions into poly 2-vinylpyridine (P2VP) polymer brush layers (~4 nm), which had been deposited by spin coating onto silicon substrates. Thin P2VP films infused with aluminum nitrate and copper nitrate by a wet chemical process were analyzed with angle resolved hard x-ray photoelectron spectroscopy (AR HAXPES). This photoemission based technique gives a lot information about a variety of core levels.[1] The large sampling depth of HAXPES measurements (20-30nm) enabled details of the chemical composition of the thin film to be characterized and subsequent angle-resolved HAXPES measurements offered a robust analysis of the interfaces and discrete layers that are present in the films. These measurements displayed evidence of bonding interactions between the elements in the polymer film and the infiltrated salts (see Figure 1) which assists in developing an understanding of the infiltration process which needs to be optimized for device fabrication applications.[2]

* Author for correspondence: [email protected] [1] P. G. Mani-Gonzalez, M. O. Vazquez-Lepe, F. Espinosa-Magaña, and A. Herrera-Gomez J. Vac. Sci. Technol., A 31, 010601 (2013). [2] C. Cummins and M. A. Morris. Using block copolymers as infiltration sites for development of future nanoelectronic devices: Achievements, barriers, and opportunities. Microelectron Eng., 195:74–85, 2018.

Figure 1: Angle resolve of the core levels Cu 2p (with high Cu+1 intensity) and Al 1s (with Al+3 signal comparable with Si+4) show that the metal salts were diffused into P2VP. The insert shows

the presence of each metal respectively in EDX mapping by TEM.

Cu 2p Al 1s 85 ° 75 ° 65 ° 55 ° 45 ° 35 °

Binding Energy (eV)

Page 51: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Precise Control of Water Vapor Delivery for ASD

D. Alvarez, Jr.,a Z. Shamsi,a J. Spiegelmana a RASIRC, 7815 Silverton Avenue, San Diego, CA 92126 USA

For Area Selective Deposition, water is commonly used over other oxidants (e.g., Ozone, O2 Plasma) because it is a mild oxidant. It readily reacts with specific functional groups (e.g., M-Cl or M-CH3) on selective surfaces while showing little or no reaction on distinct adjacent surfaces (e.g., metals) when present in low concentration. Here, precise water addition at low concentration and temperature are vital to success. Low temperature is required for non-reactivity on metal surfaces, however reduced temperature can lead to condensation and/or incomplete purging of physisorbed water throughout all surfaces in the chamber. This may lead to island formation and film growth on undesired surfaces. Therefore, delivery of water at low concentration and high precision is required. Water bubblers have difficulty with precise limited delivered of mass due to explosive evaporation of water vapor bubbles when exposed to vacuum. In addition liquid temperature, liquid level, carrier gas temperature, and thermal droop as well as microdroplet formation throughout the process degrade accuracy and repeatability. Our approach entails use of a membrane delivery system for precise delivery of droplet-free water vapor at low concentrations. This is configured inside of a traditional bubbler ampoule for ease of use.(Figure 1) The design uses a membrane sleeved tube containing a specific number of holes to allow water permeation through the membrane into a carrier gas (or vacuum). Diffusion through the membrane throttles water vapor delivery and provides particle and gas purification. Precise amounts of droplet-free water are delivered to the ASD process by the inclusion of a specific number of holes, as well as control of pressure and temperature. Variation of flow rate shows that a constant mass delivery rate is achieved once membrane saturation is reached. (Figure 2) The presentation will include droplet and particle measurements. Mass delivery under a variety of conditions will be discussed.

+ Author for correspondence: J. Spiegelman ([email protected])

Figure 2 Mass delivery achieves steady saturation at

various flow rates

Figure 1 Supported membrane delivery system for precise

water delivery

Page 52: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Low energy ion scattering analysis in ALD and ASD

P. Brüner,a+ T.Grehl,a H.H. Brongersmab a IONTOF GmbH, Heisenbergstr. 15, 48149 Münster, Germany

b Eindhoven University of Technology, 5612 AZ Eindhoven, Netherlands

In low energy ion scattering (LEIS), the energy spectrum of noble gas ions scattered off a sample surface is measured. The evaluation of elemental peaks in the energy spectra allows the determination and quantification of the elemental composition of the first atomic layer. This combination of composition analysis and extreme surface sensitivity of just a single monolayer sets LEIS apart from other surface analytical techniques, which mostly sample a deeper range of the sample. In addition, LEIS peak tails contain information about the sample composition in deeper layers up to a few nm. We demonstrate how these aspects make LEIS a powerful tool for the application in atomic layer deposition. Real-world applications are used to illustrate how different analytical questions important for ALD are addressed using LEIS. Especially in the early stages of film growth, high surface sensitivity is necessary to measure the surface coverage of the thin film. The point of layer closure is precisely determined by the disappearance of the substrate signal. Growth rates with minimum, maximum, and average film thickness are determined by analyzing series of samples taken out of the ALD reactor after different numbers of deposition cycles. By combining information about the sample composition at the surface and below the surface, growth modes can be identified to distinguish between conformal growth and island growth. In many cases, film thicknesses of some nm are reached on parts of the substrate well before the entire film is closed. The quantitative characterization of the outer monolayer also proves valuable in the detection of contaminants. Undesired impurities are often enriched at the sample surface, where they reach a considerable surface coverage. Analytical techniques that average over a certain depth range tend to underestimate the extent of these surface contaminations. Optionally, a second ion beam can be used to erode the sample while the LEIS analysis is performed. This leads to sputter depth profiles, showing the sample composition as a function of depth.

LEIS spectra of a ZrO2 deposition series

+ Author for correspondence: [email protected]

Page 53: ASD19 Book of abstracts · 1000 Invited: Modeling and selectivity loss during coupled deposition/etching ASD processes regr rn, Er rlin e niveri 100 Coffee Break 1100 Areelecive ep

Impact of low energy substrate biasing in the initial stages of HfO2 growth by PE-ALD on H-terminated Si and Si/SiO2

substrates.

S. Belahcena,b, C.Valléea,b, L.Grenouilletb, M. Bonvalota,b, and A. Bsiesya,b. aUniversité Grenoble Alpes, CNRS, LTM, F-38000 Grenoble France

bCEA, LETI, Minatec Campus, F-38054 Grenoble, France

One of the major issues in the undergoing development of advanced deposition processes deals with selective deposition, which allows the definition of patterned surfaces without any lithography step. Several routes have already been proposed in the literature to achieve the selective growth, whether on 2D substrates or on 3D substrates (topological ASD). All these developments rely on initial surface treatments, such as an activation or a deactivation step prior to deposition. For this matter, a deep understanding of nucleation mechanisms, namely the very initial stages of growth, is required. In this study, we have investigated the nucleation mechanism during the growth of HfO2 from TEMAH precursor by PE-ALD. Two types of substrates have been put on trial for this purpose: thermal SiO2 (100 nm)/Si substrates and H-terminated silicon. Deposition has been carried out in a Flexal reactor (Oxford Instruments) equipped with an inductively coupled plasma source operating at 13.54 MHz. The reactor is also equipped with an ALE kit, whereby substrate biasing can be applied to the back side of the substrate holder using a 13.56MHz generator delivering a power of up to 100W. This in turn allows substrate polarization values up to -350V, and consequently an accurate tuning of the ion energies extracted from the plasma and impinging on the material under growth. The growth is monitored in-situ by multiwavelentgh ellipsometry and Optical Emission Spectroscopy (OES). Our work has focused on the impact of the substrate bias power on HfO2 nucleation during the PE-ALD growth. The bias voltage has been gradually varied from -50V to -300V, and growth thicknesses have been confirmed by ex-situ XRR analyses. The chemical composition in the initial stages of HfO2 growth has been studied by AR-XPS and the Hf-4f XPS peak intensity has been correlated with nuclei morphologies as observed from AFM imaging measurements. These analyses provide a new insight on the transition mechanism driving the growth from a 3D island to a 2D layered growth mode. All these results will be discussed in view of published literature data.

+ Author for correspondence: [email protected]