83240l

13
Investigation of the performance of state-of-the-art defect inspection tools within EUV lithography Dieter Van den Heuvel a , Rik Jonckheere a , Bart Baudemprez a , Shaunee Cheng a , Gino Marcuccilli b , Andrew Cross b , Gregg Inderhees b , Paolo Parisi b a IMEC, Kapeldreef 75, B-3001 Leuven, Belgium b KLA-Tencor, 1 Technology Drive, CA 95035 Milpitas, US ABSTRACT Optical bright field wafer inspection followed by repeater analysis is used to find a maximum number of programmed and natural defects on a EUV patterned mask. Each aspect of the inspection methodology affecting the sensitivity of the wafer inspection is optimized individually. A special focus is given to the wafer stack. Simulation is used to predict the optimum stack properties and experimental verification is performed through exposures on the IMEC EUV Alpha Demo Tool. The final result is benchmarked against state-of-the-art patterned mask inspection and blank inspection to evaluate the capabilities and limitations of the optical wafer inspection. In addition, the locations obtained by each inspection technique (wafer and mask) were reviewed on wafer by means of a new automated methodology that is based on a tight stage accuracy of both inspection tool and review SEM. Key words: EUV lithography, wafer inspection, wafer review, reticle defect, wafer stack 1. INTRODUCTION Realizing small half pitch via EUV lithography challenges optical bright field wafer inspection. The shrinking target dimensions in combination with LER values observed on the current EUV resist processes make it difficult to detect on the wafer all defects of interest (DOI) that originated from the reticle. These DOI are generally defined as defects that cause a CD shift larger than 10%. This work investigates the limit of optical wafer inspection in EUV lithography, while intending to detect as many printing reticle defects as possible on a EUV mask. The focus is put on reticle defects, because this is the best way to verify the performance of the inspection. Programmed defects on the mask make it possible to verify which of these defects can be detected on wafer, but also which of these mask defects are likely to be missed. Not only programmed defects are included in this study, but also natural defects, because the latter are more typical for those that can be expected in a EUV production process. To do a similar verification on natural defects, the wafer inspection (WI) results are compared to the results of a blank inspection (BI) and a patterned mask inspection (PMI), both performed on a Teron600-series system from KLA-Tencor. On wafer, printable reticle defects end up in the same location in each die exposed on wafer 1 . Hence each repeating defect can be attributed to the reticle. Therefore the first goal of this work is to optimize each aspect of wafer inspection that can improve the sensitivity for repeating defects. Reticle layout, wafer layout, inspection tool, inspection recipe and especially wafer stack were all fine-tuned to approach the limit of what optical wafer inspection can do. The signal-to-noise ratio for the defects targeted in this work becomes typically so small, that the optical information from the inspection tool often needs to be complemented by SEM images to conclusively disposition between defects of interest (DOI) and nuisance. However, automated SEM review in itself is also challenged to accurately locate these small defects. Therefore the present work evaluates SEM review in parallel, and more specifically covers the important role that stage accuracy (of both inspection tool and review tool) fulfills in this process. The outcome is a new methodology Metrology, Inspection, and Process Control for Microlithography XXVI, edited by Alexander Starikov, Proc. of SPIE Vol. 8324, 83240L · © 2012 SPIE · CCC code: 0277-786X/12/$18 · doi: 10.1117/12.916979 Proc. of SPIE Vol. 8324 83240L-1 Downloaded from SPIE Digital Library on 10 Apr 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

description

83240L

Transcript of 83240l

Page 1: 83240l

Investigation of the performance of state-of-the-art defect inspection tools within EUV lithography

Dieter Van den Heuvel a, Rik Jonckheere a, Bart Baudemprez a, Shaunee Cheng a,

Gino Marcuccilli b, Andrew Cross b, Gregg Inderhees b, Paolo Parisi b a IMEC, Kapeldreef 75, B-3001 Leuven, Belgium

b KLA-Tencor, 1 Technology Drive, CA 95035 Milpitas, US

ABSTRACT

Optical bright field wafer inspection followed by repeater analysis is used to find a maximum number of programmed and natural defects on a EUV patterned mask. Each aspect of the inspection methodology affecting the sensitivity of the wafer inspection is optimized individually. A special focus is given to the wafer stack. Simulation is used to predict the optimum stack properties and experimental verification is performed through exposures on the IMEC EUV Alpha Demo Tool. The final result is benchmarked against state-of-the-art patterned mask inspection and blank inspection to evaluate the capabilities and limitations of the optical wafer inspection. In addition, the locations obtained by each inspection technique (wafer and mask) were reviewed on wafer by means of a new automated methodology that is based on a tight stage accuracy of both inspection tool and review SEM. Key words: EUV lithography, wafer inspection, wafer review, reticle defect, wafer stack

1. INTRODUCTION Realizing small half pitch via EUV lithography challenges optical bright field wafer inspection. The shrinking target dimensions in combination with LER values observed on the current EUV resist processes make it difficult to detect on the wafer all defects of interest (DOI) that originated from the reticle. These DOI are generally defined as defects that cause a CD shift larger than 10%. This work investigates the limit of optical wafer inspection in EUV lithography, while intending to detect as many printing reticle defects as possible on a EUV mask. The focus is put on reticle defects, because this is the best way to verify the performance of the inspection. Programmed defects on the mask make it possible to verify which of these defects can be detected on wafer, but also which of these mask defects are likely to be missed. Not only programmed defects are included in this study, but also natural defects, because the latter are more typical for those that can be expected in a EUV production process. To do a similar verification on natural defects, the wafer inspection (WI) results are compared to the results of a blank inspection (BI) and a patterned mask inspection (PMI), both performed on a Teron600-series system from KLA-Tencor. On wafer, printable reticle defects end up in the same location in each die exposed on wafer 1. Hence each repeating defect can be attributed to the reticle. Therefore the first goal of this work is to optimize each aspect of wafer inspection that can improve the sensitivity for repeating defects. Reticle layout, wafer layout, inspection tool, inspection recipe and especially wafer stack were all fine-tuned to approach the limit of what optical wafer inspection can do. The signal-to-noise ratio for the defects targeted in this work becomes typically so small, that the optical information from the inspection tool often needs to be complemented by SEM images to conclusively disposition between defects of interest (DOI) and nuisance. However, automated SEM review in itself is also challenged to accurately locate these small defects. Therefore the present work evaluates SEM review in parallel, and more specifically covers the important role that stage accuracy (of both inspection tool and review tool) fulfills in this process. The outcome is a new methodology

Metrology, Inspection, and Process Control for Microlithography XXVI, edited by Alexander Starikov, Proc. of SPIE Vol. 8324, 83240L · © 2012 SPIE · CCC code: 0277-786X/12/$18 · doi: 10.1117/12.916979

Proc. of SPIE Vol. 8324 83240L-1

Downloaded from SPIE Digital Library on 10 Apr 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Page 2: 83240l

allowing SEM-review of locations provided by wafer inspection and Teron patterned mask inspection with high accuracy and high throughput.

2. OPTIMIZATION OF WAFER INSPECTION

2.1 Reticle and wafer layout Normal patterned WI in die-to-die mode is not able to detect repeating defects, because reference and candidate are similar. Therefore the first priority of the reticle layout is to support cell-to-cell inspection. This requires a repetitive unit (cell) in X-direction. To meet this requirement, vertical dense lines with a fixed line width and pitch were used. This has the additional advantage that the exposure settings can be optimized to have optimum imaging of these single orientation features and no hotspots are observed on the mask, which could add noise to the inspection. Dense lines were chosen to have maximum printability of the defects. Figure 1 represents a macro view of the reticle design, which consists of 15 subfields divided into 5 rows, with each row having a fixed half pitch (hp). The concept of subfields was used to allow a die-to-die inspection for PMI. The hp varies from 40nm to 25nm, to check sensitivity with decreasing feature sizes. Exposures for this investigation were all performed on the ASML EUV Alpha Demo Tool (ADT) in IMEC with an NA of 0.25 and fixed conventional aperture of 0.5 sigma. With these illumination settings 25nm and 27nm hp cannot be reached with sufficient process window to make it possible to perform a defect inspection at maximum sensitivity. Therefore the subfields with 25nm and 27nm hp were excluded from the inspected area. Finally each center subfield contains programmed absorber defects to verify the performance of the metrology tools.

Figure 1: Reticle layout

In addition to the reticle layout, the wafer layout can also be optimized to find a maximum number of repeater defects 2. There are two main parameters that can have an effect: the number of dies on the wafer and the focus offset that is used for exposures. Most reticle defects will not be detected in all dies exposed on wafer. For the smaller defects, the capture rate of the defect inspection will not be 100%, which will result in the defect not being detected in some dies. Moreover the printability of some defects can vary significantly per die. Some small reticle defects do not print, and those that do, are easier to detect in dies where the printability is maximum (see section 3). Therefore this experiment considers each detection, made in the same location among a minimum of three dies, as a repeating defect, assigned to the reticle.

Proc. of SPIE Vol. 8324 83240L-2

Downloaded from SPIE Digital Library on 10 Apr 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Page 3: 83240l

Knowing this repeater threshold, it is important to print as many dies on the wafer as possible, to maximize the chance of capturing a defect in three different dies. Another parameter which can have an effect on the printability of certain reticle defects is the focus offset during exposure. It is known that multilayer (ML) defects, i.e. defects inside the multilayer mirror of the reticle, have a maximum printability at defocused condition 3. If these defects are bumps in the mirror they have maximum printability at negative defocus and if these defects are pits they have maximum printability at positive defocus 4. To improve the sensitivity on this type of reticle defects, a wafer layout was used with a different focus offset in each row of dies, as is indicated in Figure 2 5. The focus range was determined based on the Depth Of Focus (DOF) that can be achieved on the standard resist process used.

Figure 2: Wafer layout with varying focus offset for each row of fields

2.2 Inspection tool and recipe Wafer inspection on wafers exposed with EUV lithography requires a state-of-the-art wafer inspection tool. For this purpose the 2800 inspection tool installed at imec was upgraded to a later model KLA-Tencor Bright Field inspection tool, the 2835. The major improvement on 2835 compared to previous generation tools is the high intensity power broadband light source, which can result in 5 times more light than before. Figure 3 shows the result of an experiment where 2 identical recipes are run on 2800 and on 2835, using wafers with the layout described above. The number of detected defects increased with 15%, which is totally due to the improved hardware.

+15% +25%

30nm area smallest detected defect

40nm area smallest detected defect

32nm area smallest detected defect

Figure 3: Sensitivity increase on 2835 and representative images of smallest defects detected in 30nm, 32nm and 40nm area

Proc. of SPIE Vol. 8324 83240L-3

Downloaded from SPIE Digital Library on 10 Apr 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Page 4: 83240l

When the recipe was even further fine-tuned another 10% sensitivity gain could be achieved. This is a list of new options/settings available on 2835 which improved the sensitivity on this particular layout:

• The minimum pixel size on 2835 is 50nm which improves the resolution. • A new capability, called directional E-Field was evaluated. Since this layout consists of vertical lines only, the

use of this directional E-Field proved to be beneficial. • Capability to reduce the scan speed up to a factor of 4, which causes more light to enter on each location and

improves the defect signal. • An option called Auto Care Area was used to set-up individual inspection areas on each block with lines and

spaces (L/S) pattern. This makes it possible to exclude the 500nm wide resist bars to prevent pattern collapse as one of the main contributors of inspection noise.

• ST-NEF (Sensitivity Tuner – Nuisance Effective Filtering) uses a post-inspection rule-based binning to remove nuisance defects from the final lot result.

Upon reviewing by SEM, the defects that were only detected on 2835 (and not on 2800), it was found that they are mainly protrusion type defects. On the right side of Figure 3 defect images are shown which represent the smallest defects that could be detected on respectively the 30nm, 32nm and 40nm area. On 2800, especially on the smaller hp features, defects that do not bridge were typically missed.

2.3 Wafer stack It is known that the wafer stack can have an influence on the sensitivity of the wafer inspection 6. Previously IMEC’s work has used the established baseline resist process on top of silicon (Si). To examine to which degree the defect inspection can be improved by changing the wafer stack, in the first place an optimization of the lithographic stack was performed, followed by further optimization possibilities through pattern transfer. A first step was to predict the effect of the stack changes on 2835 sensitivity through simulation. Subsequently this was verified experimentally through exposures on the EUV scanner.

2.3.1 Simulation Defect simulations were conducted using a Rigorous Coupled Wave Analysis (RCWA) 7 method for solving Maxwell’s equations. There are many methods for numerical modeling of the diffraction of electromagnetic waves on periodic gratings. Among those, a specific role is played by the so-called Rigorous Coupled Wave Analysis (RCWA) method, which in its most basic form assumes very simple rectangular gratings. The RCWA approach is rich in mathematical problems from many disciplines, including numerical linear algebra, and building an efficient RCWA-based solver for practical problems has required both fundamental mathematics and optics understanding as well as empirical models developed based on performance of advanced optical systems, including the impact of noise reduction techniques. Also specific noise models related to LER have been included in the grating structures analysis. The film stack impact of n & k to the incident illumination and boundary effects are included in the simulation process. The developed RCWA simulations have two significant benefits over other methods. Firstly, the RCWA simulation developed has been shown to be robust: it is able to reliably compute the reflection coefficients for the wide range of wavelengths, for arbitrary shapes and incidence angles. Second, it is able to compute the reflection coefficients including wafer noise variation (such as LER) to a reasonable accuracy and allows multiple analyses of optical variables to be investigated such as wavelength selection, defect types and various optical paths. Thus an accurate model for the potential signal produced from structure can be generated and these models have been improved over time to the point where they can be used to predict actual inspection system results. Figure 4 shows a typical result of such a simulation, indicating the signal-to-noise ratio (S/N) for a given defect type on a given wafer stack for the various combinations of wavelengths and apertures available on 2835.

Proc. of SPIE Vol. 8324 83240L-4

Downloaded from SPIE Digital Library on 10 Apr 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Page 5: 83240l

Figure 4: Calculation of S/N by RCWA simulation for a given defect type and size on a given wafer stack for the various combinations of wavelengths and apertures available on 2835

2.3.2 Simulation outcome and experimental verification on resist stack changes (32nm L/S) The first target was to verify if changes in the resist stack itself can bring improvements in the sensitivity, because this is a not so radical adjustment, with little consequence to the further processing. The present process-of-record (POR) consists of 50nm resist on top of 20nm under layer (UL). Due to the viscosity properties of the resist, the practical thickness boundaries were between 40nm and 60nm. The first experiment was to compare the inspection sensitivity at nominal, minimum and maximum resist thickness, all on top of UL. The second experiment explores the effect of the UL. This 20nm thick layer is not obligatory, but exposures without UL typically demonstrate a slightly higher LER. Exposures with 50nm nominal resist thickness were performed with and without UL to investigate if this thin layer improves the inspection sensitivity. In addition the simulation predicted the minimum defect size that can be detected on this particular pattern. The left chart in Figure 5 plots the 2835 signal as a function of the defect size. Taking into account the noise, which is mainly induced by LER, the minimum defect size that can be detected was found to be 400nm2, which corresponds to a protrusion type defect of 20 by 20nm.

0

2

4

6

8

10

12

0 200 400 600 800 1000 1200

Sign

al

Size (area nm2)

BF Signal Vs Size 2835 Signal

BF Tool Noise

1.7

2.6

3.3

1.2

00.5

11.5

22.5

33.5

4

20nm U

L + 40nm

resist

20nm U

L + 50nm

resist

20nm U

L + 60nm

resist

no UL +

50nm resist

S/N

Figure 5: Left; predicted minimum defect size 2835 can detect on a 32nm L/S pattern. Right; predicted S/N for a 20nm protrusion defect on 4 different combinations of resist thickness and UL

The right-hand chart in Figure 5 plots the predicted S/N for the same defect size (20nm protrusion) on a 32nm L/S pattern with varying resist stack. The first three bars show the S/N for an increasing resist thickness on top of UL. The thicker resist seems to improve the S/N. The fourth bar should be compared to the second and compares S/N for the nominal resist thickness with and without UL. This comparison predicts higher sensitivity with UL present. For experimental verification a focus-exposure-matrix was exposed for each of the four resist stacks. Changing the resist thickness or removing the UL can have an effect on the target dose. To have a 1:1 comparison among all four stacks,

Proc. of SPIE Vol. 8324 83240L-5

Downloaded from SPIE Digital Library on 10 Apr 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Page 6: 83240l

each time one die was chosen where the CD at best focus was closest to 32nm. Next, an inspection recipe was created to scan this particular die. Recipes for all stacks were identical and used an identical imaging mode, spectral mode, pixel size and threshold settings. Table 1 illustrates the findings for one particular programmed defect, as an example. The first row shows the average CD measured over 25 points within the field where the defect inspection was performed. The second row illustrates by a SEM-image how the defect prints on each stack. This proves that both defect size and line width are almost identical on all four wafers. However, the optical images obtained on 2835, shown in the bottom row, appear quite different. Clearly there is a difference in signal and contrast. Although the optical images demonstrate a better contrast on a thicker resist, and the contrast appears to decrease when the UL is removed, it is not straightforward to quantify this. Therefore the number of programmed defects detected on each stack was investigated at best dose and focus, as shown in figure 6. This confirms similar trends as predicted by simulation: thicker resist improves the sensitivity and removing the UL is detrimental for the defect capture rate.

40nm resist + UL 50nm resist + UL 60nm resist + UL 50nm resist no UL

Average CD 32.3nm 32.2nm 31.7nm 31.5nmImage

programmed defect 32nm L/S

Optical image KLA2835

40nm resist + UL 50nm resist + UL 60nm resist + UL 50nm resist no UL

Average CD 32.3nm 32.2nm 31.7nm 31.5nmImage

programmed defect 32nm L/S

Optical image KLA2835

Table 1: Overview of the printability of a single programmed defect on 4 different resist stacks. Upper row: average CD measured within the inspected die over 25 points; Middle row: SEM-image of the defect on each resist stack; Bottom row: 2835 optical image of

the defect on each resist stack

Figure 6: Number of programmed defects detected on each stack at best dose and focus

In summary, changes in the resist stack can affect the inspection sensitivity and this also needs to be taken into account when for example the defect density is compared on different resist materials. The fact that in this exercise a resist thickness of 60nm on top of UL showed optimum results could be different for another resist and UL material. The optimum condition depends on the optical properties of the materials used. Simulation through the RCWA method is shown to predict the effect of the resist stack on the inspection sensitivity.

Proc. of SPIE Vol. 8324 83240L-6

Downloaded from SPIE Digital Library on 10 Apr 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Page 7: 83240l

2.3.3 Further optimization of 2835 wafer inspection through pattern transfer A previous publication 6 has shown even better results by changing the underlying stack and etch the pattern into this stack. Since the goal of this investigation is to get a defect inspection with maximum sensitivity, exposures with this mask layout were performed on a similar nitride stack as in Ref. 6. The trenches were etched into the nitride and a defect inspection was performed before and after etching. Both inspections were compared to the inspection on resist (+UL) on silicon. Table 2 illustrates the differences for one particular programmed defect. The left-most SEM image shows how the defect prints on silicon, the SEM image in the fifth column shows how the defect looks after nitride etch. These two images show that both defect size and line width are very similar. Yet the 2835 optical images do show a discrepancy. The second column shows the optical image for resist on silicon. This image needs to be compared to the optical image in column 3 (before etch = resist on nitride) and in column 5 (= after nitride etch). Compared to resist on Si, the contrast is worse on the nitride before etch, but better after etch. This means the pattern transfer is required to achieve the improved signal.

SEM-image resist on SI

Optical image resist on SI

Optical image resist on nitride

SEM-image etched nitride

Optical image etched nitride

30 nm L/S

SEM-image resist on SI

Optical image resist on SI

Optical image resist on nitride

SEM-image etched nitride

Optical image etched nitride

30 nm L/S

Table 2: From left to right; SEM-image resist on silicon, optical image 2835 resist on silicon, optical image 2835 resist on nitride, SEM image after nitride etch, optical image 2835 after nitride etch

K

LA2800

KLA

2835 same settin

gs

KLA

2835 op

timized

settings

KLA

2835 op

timized

settings n

itride

+15% +25% +31%

SEM-image resist on SI

SEM-image etched NI

30nm

40nm

32nm

Figure 7: Left; the right bar on this graph indicates a 6% increase in the number of defects that can be detected by changing the stack from resist on Si to etched nitride. Right; representative images of the smallest defect detected by 2835 on 30nm, 32nm and 40nm hp

area, with left SEM image taken on resist on Si and right image taken after nitride etch.

This improvement can be quantified by the number of natural reticle defects that could be detected after nitride etch. As can be seen in the graph on the left in Figure 7, an additional 6% was found compared to the fully optimized inspection on resist on Si. The images on the right of this graph represent some of the smaller defects that could be additionally detected by the inspection after nitride etch. The left image shows how these defects print in resist and the right image

Proc. of SPIE Vol. 8324 83240L-7

Downloaded from SPIE Digital Library on 10 Apr 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Page 8: 83240l

shows how these defects look after nitride etch. This demonstrates that it is not a change in defect appearance that causes the improved sensitivity, but rather a matter of optical contrast.

3. DIRECT DEFECT LOCATION (DDL) WAFER REVIEW Since S/N ratio becomes gradually smaller for the smallest defects of interest (protrusion type defects), it is becoming harder to distinguish them from nuisance defects based on the optical input only, in view of the line-edge roughness. SEM-review becomes increasingly essential. On the other hand SEM-review itself is facing similar challenges as inspection. A standard automated SEM-review relies on a die-to-die or cell-to-cell redetection to accurately locate the defect. This redetection compares a low magnification reference image with an image at the same magnification taken at the defect location. Only when a difference is detected, this is considered to be the defect and the SEM can zoom in to visualize the defect in detail. The magnification, in which this redetection is performed, depends on three factors: the stage accuracy of the SEM itself, the stage accuracy of the defect inspection tool and the accuracy of the alignment on the review SEM. Based on these three factors there is a limit on the minimum Field Of View (FOV) that can be used for the redetection. However as Figure 8 indicates, the bigger this minimum FOV becomes, the smaller the chance to have a successful redetection. This requirement is again imposed by the decrease in size of the target features and their increased LER, which adds noise to the redetection.

8um FOV 6um FOV 4um FOV 2um FOV 1um FOV

Figure 8: SEM image of 30nm L/S with decreasing FOV, indicating FOV below 4µm is required to visualize the defect

For this purpose the stage accuracy specification of the defect inspection tool was improved from 2um on 2800 to 1um on 2835. The review tool used (eDR7000) is equipped with a scanner like stage with a stage accuracy performance of 40nm. This makes the offset created by the review tool negligible compared to the offset created by the inspection tool, hence the latter becomes the main factor defining the redetection magnification. This enables to perform SEM-review on locations detected by 2835 with a FOV of 1um, which makes the redetection redundant. The option on eDR-7000 to do SEM-review below 1um without redetection is called DDL. The two main advantages of DDL are the high throughput and the fact that redetection failures can be excluded. On this particular layout the throughput of the defect review making use of the DDL option would correspond to more than 5000 defects per hour. However the main advantage is the accuracy of the defect location, which allows defining the printability of the defect with more certainty. It can be tedious, even with manual review, to determine at low magnification which protrusion is for example caused by a reticle defect and distinguish this protrusion from extremes in the LER. Only when the defect location is very accurate this can be avoided and it is safe to make a statement about the printability of a reticle defect, and in case it prints, what size CD-change it has caused. On the other hand DDL has the disadvantage that automatic classification and sizing of the defects is all based on the information provided by the redetection. If this information is critical, eDR-7000 can still perform a redetection in the same high magnification used for DDL. The only consequence is a drop in the number of defects which can be reviewed per hour. On this layout the throughput of the review in array mode (= review with redetection) would correspond to around 1800 defects per hour.

Proc. of SPIE Vol. 8324 83240L-8

Downloaded from SPIE Digital Library on 10 Apr 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Page 9: 83240l

To test the review on eDR-7000, the programmed defects were reviewed in each die on the wafer. The first example (Figure 10) shows a programmed defect which causes a bridge. For this example the review was successful in each die. The second example (Figure 11) shows the review on a smaller programmed defect. In this case, review in the center die only, would reveal a protrusion type defect with the 2 lines nearly connecting (quasi-bridge). When such a defect is reviewed in each die it shows how variable the printability of such “marginal” defects can be. On some dies the defect does not print at all, while the defect is fully bridging in other dies. This is valuable information when defining the repeater analysis threshold or inspection capture rate of such defects.

W afer Map

Design of defect

30nm

Full bridge

Protrusion

No defect

Figure 10: eDR-7000 review of a bridging programmed defect in each field exposed on the wafer

W afer Map

Design of defect

16nm

Full bridge

Protrusion

No defect

Figure 11: eDR-7000 review of a more “marginal” programmed defect in each field exposed on the wafer, showing the variability in the printability of such defects

4. VERIFICATION OF WAFER INSPECTION RESULTS Section 1 described how wafer inspection can be optimized in all its aspects, but the question still remains how good the final wafer inspection actually is. The verification of a defect inspection is typically done on programmed reticle defects. Yet, to get an additional verification which is closer to a real life situation, also the natural reticle defects were used to verify the performance of the 2835 wafer inspection. To do this, a correlation was made to Teron mask inspection. EUV reticles can suffer from different types of defects 8: ML defects, defects in the absorber pattern and particles on top of the absorber pattern. It is known that PMI may have little sensitivity on ML defects and this type of defect requires dedicated

Proc. of SPIE Vol. 8324 83240L-9

Downloaded from SPIE Digital Library on 10 Apr 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Page 10: 83240l

BI. The latter was done on Teron in Phasur mode, immediately after ML mirror deposition. To capture all types of reticle defects, this BI was combined with PMI in die-to-die mode, also on Teron, after the mask was patterned. The main intention of this PMI was to find all absorber and contamination related defects. Further analysis now answers the questions: i) what is the limit of a fully optimized wafer inspection on 2835 for capturing defects originating from the reticle and ii) does this correspond to the 20nm protrusion which was predicted by simulation (see section 1.5).

4.1 Verification on programmed defects Figure 12 presents an overview of programmed defects with decreasing size on 40nm L/S.

Defect design

Image on mask

PMI capture rate

Image on wafer after nitride etch

WI capture rate (nitr. etch)

detected capture rate <90% not detected

s = 40nms = 36nms = 32nms = 30nms = 28nms = 26nms = 24nms = 22nms = 20nms = 18nms = 16nms= 14nm

Figure 12: Overview on 40nm programmed defects comparing capture rate of PMI and WI after nitride etch

The first row shows a screenshot of the programmed absorber defects, while the second row indicates the actual defects on the mask, which typically deviate somewhat from the design. The lower three rows show respectively which defects were detected by Teron PMI, how the defects appear on wafer after nitride etch (SEM) and which of the defects were detected by 2835 (including their capture rate, either above or below 90%). Focusing on wafer inspection, the capture rate drops as soon as the defect no longer bridges. If the protrusion still covers roughly half of the space, the capture rate is no longer 100%, which means some of these protrusion defects will be missed. If the protrusion defect is even smaller, the defect can no longer be detected. Focusing on these programmed defects at 40nm hp on the etched nitride stack, it seems indeed protrusions with a size of roughly 20nm (= half the space) are the detection limit for the 2835 wafer inspection. Figure 12 also shows why mask inspection, including absorber defects, is a very suitable reference for wafer inspection, because the detection limit goes beyond the limit of wafer inspection. Even when they are too small to cause a CD shift on wafer they can be detected on mask.

Proc. of SPIE Vol. 8324 83240L-10

Downloaded from SPIE Digital Library on 10 Apr 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Page 11: 83240l

4.2 Verification on natural reticle defects To be able to verify the performance on natural reticle defects, the first challenge is to correlate the results of blank inspection, patterned mask inspection and wafer inspection. The correlation between patterned mask inspection and wafer inspection is rather straightforward when programmed defects are present on the mask. The major difficulty in the correlation between these two inspections lies in the mirroring between wafer and reticle. Matching wafer inspection and blank inspection is however more difficult, because the blank used, did not contain any markers or fiducials which can be used for referencing. This implies that the locations reported by blank inspection not only need to be mirrored, but they can also have rotation and non-orthogonality errors. After successfully matching the results of these three inspections, it was verified how many locations were reported by PMI and BI that were not detected by WI. In total, both inspections on the mask plate added another 52% to the number of defects on this reticle known from WI. Making the correlation and defining the defects which were missed by WI is only a first step in this verification. Some of these defects which are present on the mask will not print on wafer and therefore step 2 of this verification needs to be the review of all these locations on wafer. This was done on the eDR-7000 making use of an option called Reticle Defect Review (RDR)

4.2.1 Reticle Defect Review Reticle Direct Review (RDR) is an option on eDR-7000 which allows importing results of Teron mask inspection into the wafer review tool to automatically review these defects on wafer. It is a multi-step process which uses the reference site of PMI as the alignment- and reference location on wafer. In addition a macro view of the wafer can be compared to a reticle macro view, which makes it possible to correct for mirroring and rotation. The final result is a SEM image of each reticle defect in direct comparison to the optical images of the PMI. RDR also offers a defect sampling of the reticle coordinates and can define a sampling plan (number of dies) on wafer for these sampled defects. In this investigation RDR was used on all the locations reported by the Teron PMI. Locations of the Teron BI were manually reviewed, because this process is very difficult without reference markers on the blank. Figure 13 represents an example on how RDR transfers reticle coordinates of a matrix of programmed defects into wafer coordinates on multiple dies.

eDR7000

Including mirroring

Programmed defects detected by PMI

Figure 13: Schematic view on how RDR on eDR-7000 transfers reticle coordinates provided by Teron into wafer coordinates to review these reticle defects automatically on wafer (shown for programmed defects)

Proc. of SPIE Vol. 8324 83240L-11

Downloaded from SPIE Digital Library on 10 Apr 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Page 12: 83240l

4.2.2 Results As mentioned before, PMI and BI added another 52% to the number of defects previously found by WI. However, wafer review through the RDR option on eDR-7000 is needed to assess which of those were really missed by wafer inspection. Analyzing this 52%, it can be divided into 3 categories: defects which do not print, defects which print smaller than 20nm protrusion and defects which print larger than 20nm protrusion. As Table 3 shows, this 52% can be divided into about 40% of non-printing defects, 8% of protrusion defects smaller than 20nm and 4% of protrusion/bridging defects larger than 20nm. That the latter were not detected was unexpected and needs to be further investigated..

Does not print <20nm protrusion >20nm protrusion

40% 8% 4%

Table 3: Categorization of defects detected by Teron BI and PMI only

5. CONCLUSIONS This work covers a full optimization of wafer inspection towards maximum sensitivity to reticle-originated defects. It shows not only how the inspection tool and recipe have an effect, but also reticle and wafer layout, and even more so the wafer stack, have an important influence on the wafer defect capture rate. With the help of simulation through the RCWA method it has been possible to optimize each individual aspect of the wafer stack and this has led to a capture rate of 96% of all (known) defects with a size above 400nm2 (= 20 by 20nm protrusion). Both simulation and experimental verification identified a sensitivity capability of 400nm2, with the main limitation on improving sensitivity, being wafer noise. Verification on smaller defects, done on both programmed and natural defects through comparison with Teron blank inspection and patterned mask inspection, appeared to result in a rather low capture rate. This work also addressed the growing role of SEM-review in defect metrology. In defect inspection the signal-to-noise ratio is of prime importance. Since signals on small DOI’s keep decreasing and the noise, mainly induced by LER, increases, the optical information of the inspection tool will need progressively more assistance from SEM to separate DOI and nuisance detections. However, standard methodology of SEM-review through redetection is also facing similar challenges as optical wafer inspection. Therefore eDR-7000 offers the Direct Defect Location option, which is enabled by the very accurate stage. In addition, this work also demonstrated the Reticle Defect Review option on eDR-7000, which facilitates wafer review of reticle defects detected by the Teron patterned mask inspection. For absorber defects the capability of mask inspection (BI and PMI combined) typically exceeds that of WI. Yet the challenge for the former remains in shallow EUV-specific ML defects 4, as wafer inspection has previously identified blank defects that were missed by earlier BI tools. Such correlation of inspection tools will continue to be an important way to determine the most appropriate settings for blank inspection as to assure that all printing blank-related defects are found prior to wafer printing, while an appropriate S/N ratio is assured.

Proc. of SPIE Vol. 8324 83240L-12

Downloaded from SPIE Digital Library on 10 Apr 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Page 13: 83240l

ACKNOWLEDGEMENTS The authors are grateful to the local ASML team at IMEC for support on the EUV scanner, as well as the TEL assignees for support on the track. Colleagues Jan Hermans, Eric Hendrickx and Philippe Foubert are acknowledged for monitoring the performance of the EUV cluster and organizing the EUV exposures. Special thanks also to Sungmin Huh (Samsung) for his support in the wafer etch, and the people from the various divisions within KLA-Tencor for organizing and supporting all the inspections and wafer review: Ito Chikashi (BI +PMI), Suri Mayur (WI), Stephane Durant (simulation), Xiao Hong (wafer review) and Michael Mcdaniels (wafer review)

REFERENCES

[1] R. Jonckheere et al, “Investigation of EUV Mask Defectivity via Full-Field Printing and Inspection on Wafer”, Proc. SPIE 7379, (2009)

[2] D. Van den Heuvel et al, “Comparison between existing inspection techniques for EUV mask defects”, International Symposium on Extreme Ultraviolet Lithography, Kobe (2010)

[3] Chris H. Clifford, “Investigation of buried EUV mask defect printability using fast simulation at the 22nm and 16nm nodes”, International Symposium on Extreme Ultraviolet Lithography, Prague (2009)

[4] R. Jonckheere et al, “Evidence of printing blank-related defects on EUV masks, missed by blank inspection”, Proc. SPIE 7985, (2011)

[5] D. Van den Heuvel et al, “Natural EUV mask blank defects: evidence, timely detection, analysis and outlook”, Proc. SPIE 7823, (2010)

[6] Kyoung-Yong Cho et al., “The analysis of EUV mask defects using a wafer defect inspection system”, Proc. SPIE 7636 (2010)

[7] Lifeng Li et al., "New formulation of the Fourier modal method for crossed surface-relief gratings," J. Opt. Soc. Am. A 14, 2758-2767 (1997)

[8] R. Jonckheere et al, “EUV Mask Defectivity: Status and Mitigation Towards HVM”, International Symposium on Extreme Ultraviolet Lithography, Kobe (2010)

Proc. of SPIE Vol. 8324 83240L-13

Downloaded from SPIE Digital Library on 10 Apr 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms