Post on 04-Feb-2020
Design, fabrication and characterization of
plasmonic components based on silicon nanowire
platform
FEI LOU
Doctoral Thesis in Physics
Stockholm, Sweden, 2014
TRITA-ICT/MAP AVH Report 2014:05 KTH school of Information and
ISSN 1653-7610 Communication Technology
ISRN KTH/ICT-MAP/AVH-2014:05-SE S-164 40 Kista
ISBN 978-91-7595-060-0 SWEDEN
Akademisk avhandling som med tillstånd av Kungliga Tekniska Högskolan
framlägges till offentlig granskning för avläggande av teknologie doktorsexamen
fredagen den 25 April 2014 klockan 10:00 i Sal D, Forum, Kungliga Tekniska
Högskolan, Isafjordsgatan 39, Kista, Stockholm.
©Fei Lou, March, 2014
Tryck: Universitetsservice US AB
III
Abstract
Optical interconnects based on CMOS compatible photonic integrated circuits are
regarded as a promising technique to tackle the issues traditional electronics faces, such
as limited bandwidth, latency, vast energy consumption and so on. In recent years,
plasmonic integrated components have gained great attentions due to the properties of
nano-scale confinement, which may potentially bridge the size mismatch between
photonic and electronic circuits. Based on silicon nanowire platform, this thesis work
studies the design, fabrication and characterization of several integrated plasmonic
components, aiming to combine the benefits of Si and plasmonics.
The basic theories of surface plasmon polaritons are introduced in the beginning,
where we explain the physics behind the diffraction-free confinement. Numerical
methods frequently used in the thesis including finite-difference time-domain method
and finite-element method are then reviewed. We summarize the device fabrication
techniques such as film depositions, e-beam lithography and inductively coupled
plasma etching as well as characterization methods, such as direct measurement
method, butt coupling, grating coupling etc.
Fabrication results of an optically tunable silicon-on-insulator microdisk and III-V
cavities in applications as light sources for future nanophotonics interconnects are
briefly discussed. Afterwards we present in details the experimental demonstrations
and novel design of plasmonic components.
Hybrid plasmonic waveguides and directional couplers with various splitting ratios
are firstly experimentally demonstrated. The coupling length of two 170 nm wide
waveguides with a separation of 140 nm is only 1.55 µm. Secondly, an ultracompact
polarization beam splitter with a footprint of 2×5.1 μm2 is proposed. The device
features an extinction ratio of 12 dB and an insertion loss below 1.5 dB in the entire
C-band. Thirdly, we show that plasmonics offer decreased bending losses and enhanced
Purcell factor for submicron bends. Novel hybrid plasmonic disk, ring and donut
resonators with radii of ~ 0.5 μm and 1 μm are experimentally demonstrated for the
first time. The Q-factor of disks with 0.5 μm radii are 90 ± 30, corresponding to Purcell
factors of 127 ± 42. Thermal tuning is also presented. Fourthly, we propose a design of
electro-optic polymer modulator based on plasmonic microring. The figure of merit
characterizing modulation efficiency is 6 times better comparing with corresponding
silicon slot polymer modulator. The device exhibits an insertion loss below 1 dB and a
power consumption of 5 fJ/bit at 100 GHz. At last, we propose a tightly-confined
waveguide and show that the radius of disk resonators based on the proposed
waveguide can be shrunk below 60 nm, which may be used to pursue a strong
light-matter interaction.
The presented here novel components confirm that hybrid plasmonic structures can
play an important role in future inter- and intra-core computer communication systems.
Key words: Planar integrated circuit, silicon photonics, plasmonics, subwavelength,
directional coupler, polarization beam splitter, disk resonator, ring resonator,
finite-difference time-domain, photonic crystal, electro-optic polymer, Purcell factor.
IV
V
Acknowledgments
First and for most, I would like to express my greatest gratitude to my principle
supervisor Assoc. Prof. Lech Wosinski for his continuous guidance and considerate
support during past few years. The thesis work could only be done with his guidance
and expertise. I am very grateful for his kindness and encouragement during these
years.
I also would like to thank my co-supervisors Prof. Lars Thylen and Prof. Sebastian
Lourdudoss. I appreciate very much the opportunities to work with and learn from them
and their group members. It has been a very valuable experience and my horizons have
been largely expanded from interacting with them.
Special thanks to Dr. Zhechao Wang at Ghent University, who had taught me
fabrications in the first month of my journey at KTH. I also specially thank Doc. Min
Yan, Prof. Min Qiu, Dr. Yiting Chen, Dr. Yanting Sun and Yu Xiang all at KTH for their
valuable guidance with partial of my work.
Thank Dr. Yuechun Shi, Xi Chen, Xu Sun, Maofeng Dou, Himanshu Kataria, Dr.
Carl Junesand, Wondwosen Metaferia, Assoc. Prof. Sergei Popov, Gleb Lobov, Dr.
Petter Holmström at KTH, and Prof. Daoxin Dai, Dr. Yaosheng Shi, Xiaowei Guan at
Zhejiang University for the constructive discussions and fruitful cooperation.
Thank our administrator Ms. Madeleine Printzsköld for her warm support and
considerations.
Thank KTH Electrumlab cleanroom staff and IT-service group for their professional
work. Specially, thank Albanova cleanroom administrator Assoc. Prof. Anders
Liljeborg who is constantly ready to help with all kinds of EBL problems.
Thank Prof. Saulius Marcinkevicius, Assoc. Prof. Anand Srinivasan, Assoc. Prof.
Henry Radamson, Prof. David Haviland for their interesting, informative and educative
courses.
Special thanks to Prof. Lena Wosinska for her invitations and nice treats in her
house.
Thank the friends and colleagues I am luckily enough to meet at KTH: Jin Dai,
Kunli Xiong, Miao Zhang, Yi Feng, Min Cen, Dr. Lin Dong, Sohail Ahmed, Zaher
Elbeck, Oscar Rino Granström, Chenzhi Xu, Fan Pan, Dr. Jiantong Li, Dr. Zhiying Liu,
Dr. Xiaodi Wang, Yichen Zhao, Dr. Jiajia Chen, Dr. Qin Wang, Dr. Yongbin Wang,
Reza Sanatinia, Maz Naiini, Reyhaneh Soltan, Sam Vaziri, Xingang Yu, Bote Liu, Song
Lu, Dr. Jing Wang, Dr. Yi Song, Dr. Qiang Li, Dr. Sergey Dyakov, Bikash Dev
Choudhury, Chen Hu, Dr. Naeem Shahid, …
I also would like to express my gratitude to Prof. Xinliang Zhang, without whom I
could not make it so far on this academic road.
At last, thank sincerely my parents and my family who are unconditionally loving
me, caring about me, and encouraging me, and thank Lei Lei, you are the best gift
heaven sent to me.
VI
VII
Table of the Contents
Abstract......................................................................................................................................... III
Acknowledgments ...................................................................................................................... V
List of publications .................................................................................................................... IX
Acronyms ...................................................................................................................................... XI
1. Introduction ............................................................................................................................ 1
1.1 Background ....................................................................................................... 1
1.2 Objectives and Main Achievements ................................................................. 3
1.3 Thesis Outline ................................................................................................... 4
References ............................................................................................................... 5
2. Surface Plasmon Polaritons ............................................................................................... 9
2.1 Plasma model .................................................................................................... 9
2.2 Surface plasmons at a metal-insulator interface ............................................. 11
2.3 SPP waveguide with subwavelength confinement ......................................... 12
References ............................................................................................................. 14
3. Design and Simulation Methods ..................................................................................... 15
3.1 Finite-difference time-domain method ........................................................... 15
3.2 Finite element method .................................................................................... 19
References ............................................................................................................. 22
4. Fabrication and Characterization Techniques ........................................................... 25
4.1 Photonic integrated circuits: overview ........................................................... 25
4.2 Fabrication techniques .................................................................................... 26
4.2.1 Plasma enhanced chemical vapor deposition ........................................... 26
4.2.2 Electron beam evaporation ....................................................................... 28
4.2.3 Electron beam lithography ....................................................................... 29
4.2.4 Inductive coupled plasma -reactive ion etching ....................................... 31
4.3 Characterization methods ............................................................................... 32
4.3.1 Material characterization .......................................................................... 33
4.3.2 Device characterization ............................................................................ 33
4.4 Device demonstrations .................................................................................... 36
4.4.1 Tunable SOI microdisk assisted by perfect absorbers .............................. 36
4.4.2 III-V cavities ............................................................................................. 37
References ............................................................................................................. 39
5. Ultracompact Plasmonic Components ......................................................................... 43
5.1 Hybrid plasmonic directional couplers ........................................................... 43
5.2 Polarization beam splitters based on three-core directional couplers ............. 46
5.3 Hybrid plasmonic resonators .......................................................................... 49
5.3.1 Ultrasharp 90o bends ................................................................................ 49
VIII
5.3.2 Hybrid plasmonic disk resonators ............................................................ 52
5.3.3 Hybrid plasmonic ring and donut resonators ........................................... 54
5.4 Electro-optic polymer modulators based on HP ring resonators .................... 57
5.4.1 Integrated optical modulators: overview .................................................. 57
5.4.2 Performance evaluations .......................................................................... 58
5.4.3 Discussion of fabrication feasibility ......................................................... 61
5.5. Nanodisks based on layered metal-dielectric waveguide .............................. 62
5.5.1 Strongly confined cavities: overview ....................................................... 62
5.5.2 Guiding principle of layered metal-dielectric waveguides ....................... 62
5.5.3 Nanodisk resonator based on the proposed waveguide ............................ 63
References ............................................................................................................. 66
6. Conclusions and Future Work ........................................................................................ 71
6.1 Conclusion ...................................................................................................... 71
6.2 Future work ..................................................................................................... 73
7. Guide to Appended Papers ............................................................................................... 75
IX
List of publications
Publications included in the thesis
A. Fei Lou, Zhechao Wang, Daoxin Dai, Lars Thylen, and Lech Wosinski,
“Experimental demonstration of ultra-compact directional couplers based on
silicon hybrid plasmonic waveguides,” Applied Physics Letters, 100(24), 241105
(2012).
B. Fei Lou, Daoxin Dai, and Lech Wosinski, "Ultracompact polarization beam splitter
based on a dielectric–hybrid plasmonic–dielectric coupler," Optics Letters, 37(16),
3372-3374 (2012).
C. Fei Lou, Lars Thylen, and Lech Wosinski, “Experimental demonstration of
silicon-based metallic whispering gallery mode disk resonators and their
thermo-tuning,” Optical Fiber Communication Conference/National Fiber Optic
Engineers Conference (OFC/NFOEC), paper Tu2E.1, (2014).
D. Fei Lou, Daoxin Dai, Lars Thylen, and Lech Wosinski, “Design and analysis of
ultra-compact E-O polymer modulators based on hybrid plasmonic microring
resonators,” Opt. Express 21, 20041-20051 (2013).
E. Fei Lou, Min Yan, Lars Thylen, Min Qiu, and Lech Wosinski, “Whispering gallery
mode nanodisk resonator based on layered metal-dielectric waveguide,” to be
published in Opt. Express (2014).
F. Fei Lou, Lars Thylen, and Lech Wosinski, “Ultra-sharp bends based on hybrid
plasmonic waveguides,” manuscript (2014).
Publications not included in the thesis
Journal papers
G. M. Dou, F. Lou, M. Boström, I. Brevik, and C. Persson, “Casimir quantum
levitation tuned by means of material properties and geometries”, Submitted to
Phys. Rev. B, (2014).
H. L. Lei, Y. Yu, F. Lou, Z. Zhang, L. Xiang and X. Zhang, “A simple experimental
scheme for M-QAM optical signals generation,” Frontiers of Optoelectronics,
5(2), 200-207 (2012).
I. Y. Shi, X. Chen, F. Lou, Y. Chen, M. Yan, L Wosinski and M.
Qiu, ”All-optical-switching in silicon disk resonator based on photothermal effect
of metal-insulator-metal absorber,” manuscript, (2014).
J. X. Chen, Y. Shi, F. Lou, Y. Chen, M. Yan, L Wosinski and M. Qiu,
“Photothermally tunable silicon microring-resonator-based optical add-drop filter,”
manuscript, (2014).
Conference contributions
K. F. Lou, X. Zhang, L. Wosinski, “Transmission characteristics of a novel grating
X
assisted microring,” Proc. of SPIE 8308, 83080A-1 (2011).
L. F. Lou, Z. Wang, D. Dai, L. Thylen, and L. Wosinski, “A sub-wavelength
microdisk resonator based on hybrid plasmonic waveguides”, 5th International
Photonics and OptoElectronics Meetings (POEM 2012), November 1-2, 2012,
Wuhan, China. (Best Student Paper)
M. F. Lou, Z. Wang, D. Dai, L. Thylen, and L. Wosinski, “Photonic devices based on
silicon hybrid plasmonic waveguides”, Asia Communications and Photonics
Conference (ACP), November 7-10, 2012, Guangzhou, China, paper: AS2H.2.
N. F. Lou, L. Thylen, and L. Wosinski, “Hybrid plasmonic microdisk resonators for
interconnect applications”, Optics + Optoelectronics International Symposium,
15-18 April 2013, Prague, Czech Republic, SPIE Proc. (2013).
O. L. Wosinski, F. Lou and L. Thylen, “Silicon- and plasmonics-based nanophotonics
for telecom and interconnects”, invited talk presented at 16th International
Conference on Transparent Optical Networks, ICTON 2014, Graz, Austria, July
6-10, 2014, Proceedings of the IEEE.
P. L. Wosinski, F. Lou and L. Thylen, " Novel hybrid plasmonic devices on silicon
platform”, invited talk, 35th Progress in Electromagnetics Research Symposium
(PIERS), Aug 25-28, 2014 Guangzhou, China.
Q. L. Wosinski, F. Lou and L. Thylen, "Nanoscale Si-based photonics for next
generation integrated circuits", invited talk, 15th International Conference on
Transparent Optical Networks, ICTON 2013, Cartagena, Spain, June 23-27, 2013,
Proceedings of the IEEE.
R. L. Wosinski, F. Lou and L. Thylen, " Silicon platform-based nanophotonics for
interconnect applications”, invited talk, 34th Progress in Electromagnetics
Research Symposium (PIERS), Aug 12-15, 2013 Stockholm, Sweden.
S. L. Wosinski, F. Lou and L. Thylen, “Hybrid plasmonics for computer
interconnects”, invited talk presented at the Asia Communications and Photonics
Conference (ACP), November 12-15, 2013, Beijing, China, paper: ATh4A.1.
T. X. Sun, F. Lou and L. Wosinski, “Study of Plasmonic Analogue of EIT Effect
based on Hybrid Plasmonic Waveguide System,” Asia Communications and
Photonics Conference (ACP), Beijing, China, Nov. 12-15 (2013).
U. L. Wosinski, Z. Wang, F. Lou, D. Dai and L. Thylen, “Novel plasmonic
waveguides and devices”, invited talk presented at the 5th International Photonics
and OptoElectronics Meetings (POEM 2012), November 1-2, 2012, Wuhan,
China.
V. L. Wosinski, Z. Wang, F. Lou, D. Dai and L. Thylen, “Silicon- and
plasmonics-based nanophotonics for telecom and interconnects”, special invited
talk presented at the Asia Communications and Photonics Conference (ACP),
November 7-10, 2012, Guangzhou, China, paper: AW1A.3.
W. L. Wosinski, Z. Wang, F. Lou, D. Dai, S. Lourdudoss and L. Thylen, “Advanced
silicon device technologies for optical interconnects”, invited talk presented at the
Photonics West 2012 Conference, January 21-26, San Francisco, California, USA,
Proc. SPIE 8265, 826506 (2012).
XI
Acronyms
AFM Atomic Force Microscopy
α-Si:H Hydrogenated Amorphous Silicon
BPM Beam Propagation Method
CMOS Complementary Metal Oxide Semiconductor
CMP Chemical-Mechanical Polishing
DUT Device Under Test
WDM Wavelength-Division Multiplexing
EBL Electron Beam Lithography
EBE Electron Beam Evaporation
ELOG Epitaxial Lateral Overgrowth
FFT Fast Fourier Transforms
FEM Finite Element Method
FDTD Finite-Difference Time-Domain
FIB Focused Ion Beam
HPWG Hybrid Plasmonic Waveguide
HSQ Hydrogen Silsesquioxane
HVPE Hydride Vapor Phase Epitaxy
ICP-RIE Inductively Coupled Plasma- Reactive Ion Etching
IL Insertion Loss
LMD Layered Metal-Dielectric
MDM Metal-Dielectric-Metal
MRR Micro Ring Resonator
PBS Polarization Beam Splitter
PECVD Plasma Enhanced Chemical Vapor Deposition
PhC Photonic Crystal
PICs Photonic Integrated Circuits
PL Photoluminescence
PML Perfectly Matched Layer
RC Resistor-Capacitor
SEM Scanning Electron Microscope
SOI Silicon-On-Insulator
SOG Spin-of-Glass
SPPs Surface Plasmon Polaritons
TE Transverse Electric
TM Transverse Magnetic
TO Thermo-Optic
WF Writing Field
XII
1
Chapter 1
Introduction
1.1 Background
Advances in semiconductor technology have led us to current era of big data and
super-computing; the whole society is unprecedentedly connected and computerized.
All this convenience is largely attributed to the success of complementary
metal-oxide-semiconductor (CMOS) - based electronic integrated circuits. Since the
invention of semiconductor transistors around 1950s, the progress in electronic circuits
has been following the famous Moore’s law (Gordon Moore, 1965), which states that
the number of transistors per chip doubles every two years. The strong driving forces of
continuous chip miniaturization are not only to shrink the device size, but more
importantly to enhance the performance and reduce the cost and power consumption.
This is especially the case in nowadays multi-core and multi-board cascaded circuits in
supercomputers and datacenters. Thousands and millions of computer processor units
are scaled and interconnected, and the cost and power consumption are tremendous.
However, as the number of transistors per chip continues growing, the challenge in chip
miniaturization shifts gradually from how to integrate more transistors per unit area to
how to interconnect them with other functional components. As the scaling of chip size
and communication bandwidth, using traditional copper-based interconnects is
reaching its physical limits in terms of heat dissipation, signal latency, cross talking, etc.
[1], researchers began eagerly looking for other solutions.
To break through the limitations traditional electronics faces, optical interconnects
by using photons as information carriers have been proposed [2]. The proposal
combines the advantages of both worlds i.e. photons and electrons, which may
potentially trigger another round of information technology revolution. The history of
light-based communication can actually date back to ancient times. One such example
is the building of Great Walls started around 220 BC, where smoke was lighted in case
of intrusions so that distant defending force was warned. In 1960, Theodore Maiman
shed a new form of light to the world [3], which does not exist in nature i.e. laser. The
invention, together with several other emerged techniques such as ultra-low-loss fibers,
erbium doped fiber amplifiers, wavelength-division multiplexing (WDM), etc., became
the foundations of modern optical communication. Similarly to electronics, from the
date of birth, modern optical communication has been pushed toward device
integration and chip miniaturization. A variety of material platforms such as silica on
Chapter 1. Introduction
2
silicon [4], III-V semiconductors [5], polymers [6], LiNbO3 [7], silicon on insulator
(SOI) [8-9], etc. have been examined towards this end. One most economic and perhaps
most promising platform is silicon-based photonics [8-10]. The reasons are mainly two
fold: firstly, silicon has a very high refractive index and it is transparent (loss of ~1
dB/cm) in the telecommunication wavelength range; secondly but equal-importantly,
fabrication of silicon-based photonic devices is compatible with the advanced CMOS
processes available in billion dollar foundries hitherto used to create electronic
processors. However, as nothing is perfect, neither is silicon photonics due to following
issues.
One issue has to do with silicon itself. A working optical commutation system needs
some basic components, for light guiding, generation, detection, modulation, routing,
and so on. Although passive components being relatively easy to implement in silicon
photonics, realizing of active photonic devices based on Si platform faces various
challenges. Firstly, silicon has an indirect bandgap, and lasing only occurs under
demanding conditions with extremely poor efficiency [11]; secondly, since silicon is
transparent at telecom wavelength, it is not suitable for detection [12]. As for light
modulation, although there have been demonstrations of Si modulators in recent years
[13-14], the device footprint is normally large due to material’s poor electro-optic (EO)
coefficient, and the achievable speed is fundamentally limited due to the
resistor-capacitor (RC) circuit latency (latest speed record: 50 Gb/s [14]). As a result, Si
by itself is not suitable for future photonic integrated circuits (PICs). One needs to
incorporate versatile and complementary materials onto Si platform, exploiting the best
of each world [15-18]. A recent European project PhoxTroT [19] is an example of
efforts towards such hybrid circuit. The project goal is to combine Si photonics, III-V
semiconductors, polymers, glass, plasmonics, electronics, etc. for optical interconnects.
Selecting appropriate materials for specific needs may potentially enhance the chip
performance, reduce the cost and power consumption.
Another issue of Silicon photonics is related to photons. We have discussed that like
electrons, photons can also act as an information carrier, even with better performance.
However, realizing optical computing is very challenging. The problems are that
photons are bosons and stopping and storing them are very difficult [20]. Moreover, the
basics of optical computing i.e. optical transistors (based on nonlinearities) are far from
maturity yet [21]. Hence we will continue relying on electrons for data computing for
quite a long time. Correspondingly, in the near future, as proposed and implemented by
giants like IBM [22], Intel [23] etc., integrated circuits can consist of multiple layers,
where information is stored and processed using electrons in electronic layers, while
guided and routed using photons in photonic layers. The idea has already been
implemented and commercial products are expected in the next few years [24]. This
approach, however, will eventually face another intrinsic problem: the size mismatch
between photonic components and electronic counterparts [25]. To be more specific, a
state of-the-art transistor has a feature size of about 30 nm. In comparison, the width of
a silicon waveguide is normally beyond 450 nm due to the diffraction limit of light;
footprints of functional photonic devices are even larger, e.g. the typical radius of a SOI
microring resonator is around 5 micron or more. As the integration density increases,
Chapter 1. Introduction
3
millions of photonic and electronic components will be integrated, and the huge size
mismatch becomes essential.
Plasmonics has been regarded as next-generation chip technology [25-26], since it
can enable circuits with sizes comparable to electronics and transfer information at a
photonic speed. Plasmonic waveguides are normally composed of metals and
dielectrics. By guiding the so-called surface plasmon polaritons (i.e. density waves of
electrons oscillations) at metal-dielectric interfaces, they can enable diffraction free
light confinement [27-28], however at a cost of metal dissipation loss. Various
plasmonic waveguide geometries have been proposed and demonstrated, including
dielectric-loaded plasmonic waveguide [29-30], long range SPP waveguide [31],
metal-dielectric-metal waveguide [32-33], hybrid plasmonic waveguide [34-35], etc.
Beside compact light confinement, plasmonics is equally interesting for other desirable
properties, such as strong light-matter interaction useful for enhancement of
nonlinearities [36-37] and Purcell factor [38], improved RC circuit latency [39],
capability to guide electrons and photons simultaneously [40-41], etc. Hence, it might
be very useful to incorporate plasmonics into the above mentioned hybrid circuit, as
long as we properly design and evaluate the losses and gains. A practical solution of
such hybrid circuit would be: low-loss and compact light guiding is realized by SOI
waveguides, while functional components can embrace diverse materials (III-V,
germanium, polymer, plasmonics, graphene, etc.), targeting better performance, more
compact footprint and a lower cost.
1.2 Objectives and Main Achievements
Summarizing discussions in section 1.1, we come to the objectives of this thesis:
- to explore and bring up new concepts of functional plasmonic components
based on Si platform,
- aiming to combine the benefits of plasmonics and other functional materials for
applications in next-generation photonic integrated circuits.
Main part of our work focuses on a so-called hybrid plasmonic waveguide (HPWG). It
was firstly proposed by a UC Berkeley group in 2008 [34], and later demonstrated by
various research groups [42-45]. We think such a waveguide is interesting mainly for
three reasons. (1) HPWG is composed of Si and metal with a SiO2 slot sandwiched in
between. The materials and fabrication can be readily CMOS-compatible. (2) There’s
an extra design of freedom in HPWG by selecting the thickness of SiO2 slot. (3) By
guiding a hybrid mode (partially plasmonic and partially photonic), HPWG achieves
relatively compact confinement and long propagation length; the insertion loss (IL) of
functional devices are relatively low as a result.
In the thesis work, we have achieved following results:
Fabrication and evaluation of ultracompact directional couplers based on
HPWG with splitting ratios ranging from ~ 100:0 to 50: 50 to 0:100.
New design of ultracompact polarization beam splitters (PBSs) based on
HPWG with an insertion loss below 1.5 dB and a footprint of 2×5.1 μm2.
Design and experimental realization of submicron-radius hybrid plasmonic disk,
ring, donut resonators with free spectral ranges of ~ 200 nm and a Purcell factor
Chapter 1. Introduction
4
over 100. The waveguide-loaded disk resonator with 525 nm radius was the
smallest to date fabricated device that got acknowledgment as a best student
paper and received attention in many popular magazines.
Design and concept evaluation of an ultracompact EO polymer modulator based
on HP microring resonators (radius ~ 540 nm) with insertion loss of about 1 dB
and power consumption of about 5 fJ/bit at100 GHz.
We have also proposed another type of tightly confined plasmonic waveguide: layered
metal-dielectric (LMD) waveguide, for pursuing even smaller resonator and more
intense light-matter interaction. We have shown that a disk resonator with radius of
around 60 nm can be achievable and the proposed devices are potentially useful in
strong coupling system.
The achievements presented in this thesis are an important contribution in the
development of future optical interconnects in inter- and intra-core computer
communication systems.
1.3 Thesis Outline
The rest of the thesis is organized as follows:
In Chapter 2, we discuss the basic principles and fundamentals of surface plasmon
polaritons. Plasma models of noble metals are introduced to describe metal’s optical
properties. Dispersion relation of a two-dimensional metal-dielectric interface is
derived from Helmholtz equation. We also compare a metal-insulator-metal waveguide
with a traditional photonic waveguide, explaining SPP’s diffraction-free confinement.
Chapter 3 introduces two frequently used numerical methods for design and
simulations in this thesis. Finite-difference time-domain method (FDTD) is employed
to rigorously simulate the lightwave propagation. Finite element method (FEM) is used
as solvers for optical modes as well as coupled-multiphysics problems. The
implementation principles and practical examples of FDTD and FEM simulations are
given for a deep understanding.
In Chapter 4, we explain the fabrication and characterization techniques used in our
experiments. We firstly briefly introduce the techniques of film deposition, electron
beam lithography and inductively coupled plasma etching. Then characterization
methods including grating couplers, direct measurement, butt coupling and an optical
pump system are discussed. Finally we present some of our experimental results
including a tunable silicon-on-insulator microdisk integrated with perfect absorbers
and III-V laser cavities.
Chapter 5 presents our main results on plasmonic devices. Experimental
demonstrations of hybrid plasmonic directional couplers are firstly discussed. Then we
propose a novel design of a PBS based on three-core directional couplers. Afterwards,
hybrid plasmonic ultra-sharp 90o bends and submicron disk, ring and donut resonators
are analyzed and demonstrated. Based on hybrid plasmonic microring, the concept of
an ultra-compact electro-optic polymer modulator is proposed and evaluated. Finally,
we discuss a new plasmonic waveguide i.e. layered metal-dielectric waveguide and
investigate the optical properties of the nanodisk based on such strongly-confined
waveguides.
Chapter 1. Introduction
5
In Chapter 6 the discussion and conclusion of this work are presented, and some
guidelines for the future research directions are given.
Finally Chapter 7 lists the appended papers and summarizes the achievements.
References
[1] J. A. Davis, R. Venkatesan, A. Kaloyeros, M. Beylansky, S. J. Souri, K. Banerjee,
K. C. Saraswat, A. Rahman, R. Reif, and J. D. Meindl, "Interconnect limits on
gigascale integration (GSI) in the 21st century," Proc. IEEE 89, 305 (2001).
[2] D. A. B. Miller, "Rationale and challenges for optical interconnects to electronic
chips," Proc. IEEE 88, 728-749 (2000).
[3] T. H. Maiman, "Stimulated optical radiation in ruby," Nature 187, 493−494 (1960).
[4] M. Kawachi, "Recent progress in silica-based planar lightwave circuits on silicon,"
IEE Proc. Optoelectronics 143, 257-262(1996).
[5] T. L. Koch, "Semiconductor photonic integrated circuits," IEEE J. Quantum.
Electron. 27, 641-653 (1991).
[6] H. Ma, A. K.-Y. Jen, and L. R. Dalton, "Polymer-based optical waveguides:
materials, processing, and devices, " Adv. Mater. 14, 1339-1365 (2002).
[7] E. L. Wooten et al. "A review of lithium niobate modulators for fiber-optic
communications systems," IEEE J. Sel. Top. Quantum Electron. 6, 69-82 (2000).
[8] L. Pavesi and D. J. Lockwood, Silicon Photonics (Springer-verlag, New York,
2004).
[9] G. T. Reed and A. P. Knights, Silicon Photonics: An Introduction (John Wiley,
West Sussex, 2004).
[10] B. Jalali, M. Paniccia, and G. Reed, “Silicon photonics,” IEEE Microw. Mag. 7(3),
58–68 (2006).
[11] H. Rong, et. al "A continuous-wave Raman silicon laser," Nature 433, 725-728
(2005).
[12] S. Fama, L. Colace, G. Masini, G. Assanto, H.-C. Luan, High performance
germanium-on-silicon detectors for optical communications, Appl. Phys Lett. 81,
586-588 (2002).
[13] L. Liao, A. Liu, D. Rubin, J. Basak, Y. Chetrit, H. Nguyen, R. Cohen, N. Izhaky,
and M. Paniccia, “40 Gbit/s silicon optical modulator for highspeed applications,”
Electron. Lett.43(22), 1196–1197 (2007).
[14] Takeshi Baba, Suguru Akiyama, Masahiko Imai, Naoki Hirayama, Hiroyuki
Takahashi, Yoshiji Noguchi, Tsuyoshi Horikawa, and Tatsuya Usuki, "50-Gb/s
ring-resonator-based silicon modulator," Opt. Express 21, 11869-11876 (2013).
[15] D. Liang, G. Roelkens, R. Baets, and J. Bowers, “Hybrid integrated platforms for
silicon photonics,” Materials 3(3), 1782–1802 (2010).
[16] R. Soref, “Mid-infrared photonics in silicon and germanium,” Nat. Photonics 4(8),
495–497 (2010).
[17] J. Leuthold, C. Koos, W. Freude, L. Alloatti, R. Palmer, D. Korn, J. Pfeifle, M.
Lauermann, R. Dinu, S. Wehrli, M. Jazbinsek, P. Günter, M. Waldow, T. Wahlbrink,
J. Bolten, H. Kurz, M. Fournier, J. Fedeli, H. Yu, and W. Bogaerts,
Chapter 1. Introduction
6
“Silicon-organic hybrid electro-optical devices,” IEEE J. Sel. Top. Quantum
Electron.19(6), 3401413 (2013).
[18] S. I. Bozhevolnyi, ed., Plasmonic Nanoguides and Circuits, Pan Stanford Publ.,
(2008).
[19] PhoxTroT project: http://www.phoxtrot.eu/
[20] T.F. Krauss, "Why do we need slow light?" Nat. Photonics 2, 448-449 (2008).
[21] D. A. B. Miller, “Are optical transistors the logical next step?” Nat. Photonics4(1),
3–5 (2010).
[22] http://researcher.ibm.com/researcher/view_project.php?id=2757
[23] http://www.intel.com/content/www/us/en/research/intel-labs-silicon-photonics-re
search.html
[24] M. Streshinsky, R. Ding, Y. Liu, A. Novack, C. Galland, A. E.-J. Lim, P.
Guo-Qiang Lo, T. Baehr-Jones, and M. Hochberg, "The Road to Affordable,
Large-Scale Silicon Photonics," Optics & Photonics News 24(9), 32-39 (2013).
[25] R. Zia, J. A. Schuller, A. Chandran, and M. L. Brongersma, "Plasmonics: the next
chip-scale technology," Mater. Today 9, 20-27 (2006).
[26] E. Ozbay, "Plasmonics: Merging photonics and electronics at nanoscale
dimensions," Science 311, 189-193 (2006).
[27] A. V. Zayats and I. I. Smolyaninov, "Near field photonics: surface plasmon
polaritons and localized surface plasmons," J. Opt. A: Pure Appl. Opt. 5, S16-S50
(2003).
[28] A. V. Zayats, I. I. Smolyaninov, and A. A. Maradudin, "Nano-optics of surface
plasmon polaritons," Phys. Rep. 408, 131-314 (2005).
[29] A. V. Krasavin and A. V. Zayats, “Passive photonic elements based on
dielectric-loaded surface plasmon polariton waveguides,” Appl. Phys. Lett.90(21),
211101 (2007).
[30] T. Holmgaard, Z. Chen, S. I. Bozhevolnyi, L. Markey, A. Dereux, A. V. Krasavin,
and A. V. Zayats, “Bend- and splitting loss of dielectric-loaded surface
plasmon-polariton waveguides,” Opt. Express 16(18), 13585–13592 (2008).
[31] R. Charbonneau, N. Lahoud, G. Mattiussi, and P. Berini, “Demonstration of
integrated optics elements based on long-ranging surface plasmon polaritons,” Opt.
Express 13(3), 977–984 (2005).
[32] Z. Han, A. Y. Elezzabi, and V. Van, "Experimental realization of subwavelength
plasmonic slot waveguides on a silicon platform," Opt. Lett. 35, 502-504 (2010).
[33] G. Veronis and S. Fan, “Bends and splitters in metal-dielectric-metal
subwavelength plasmonic waveguides,” Appl. Phys. Lett. 87(13), 131102 (2005).
[34] R. F. Oulton, V. J. Sorger, D. A. Genov, D. F. P. Pile, and X. Zhang, “A hybrid
plasmonic waveguide for subwavelength confinement and long-range
propagation,” Nat. Photonics 2(8), 496–500 (2008).
[35] D. Dai and S. He, “A silicon-based hybrid plasmonic waveguide with a metal cap
for a nano-scale light confinement,” Opt. Express 17(19), 16646–16653 (2009).
Chapter 1. Introduction
7
[36] M. Kauranen and A. V. Zayats, “Nonlinear plasmonics,” Nat. Photonics6(11),
737–748 (2012).
[37] G. A. Wurtz, R. Pollard, and A. V. Zayats, “Optical bistability in nonlinear
surface-plasmon polaritonic crystals,” Phys. Rev. Lett. 97, 057402 (2006).
[38] A. N. Poddubny, P. A. Belov, P. Ginzburg, A. V. Zayats, and Y. S. Kivshar,
“Microscopic model of Purcell enhancement in hyperbolic metamaterials,” Phys.
Rev. B86(3), 035148 (2012).
[39] J. A. Conway, S. Sahni, and T. Szkopek, "Plasmonic interconnects versus
conventional interconnects: a comparison of latency, crosstalk and energy costs,"
Opt. Express 15, 4474-4484 (2007).
[40] M. L. Brongersma, R. Zia, and J. A. Schuller, “Plasmonics—the missing link
between nanoelectronics and microphotonics,” Appl. Phys., A Mater. Sci. Process.
89, 221–223 (2007).
[41] H. A. Atwater, “The promise of plasmonics,” Scientific American Reports 56,
(2007).
[42] M. Wu, Z. Han, and V. Van, "Conductor-gap-silicon plasmonic waveguides and
passive components at subwavelength scale," Opt. Express 18, 11728-11736
(2010).
[43] I. Goykhman, B. Desiatov, and U. Levy, “Experimental demonstration of locally
oxidized hybrid silicon-plasmonic waveguide,” Appl. Phys. Lett. 97(14), 141106
(2010).
[44] S. Zhu, T. Y. Liow, G. Q. Lo, and D. L. Kwong, "Silicon-based horizontal
nanoplasmonic slot waveguides for on-chip integration," Opt. Express 19,
8888-8902 (2011).
[45] Z. Wang, D. Dai, Y. Shi, G. Somesfalean, P. Holmstrom, L. Thylen, S. He, and L.
Wosinski, "Experimental Realization of a Low-loss Nano-scale Si Hybrid
Plasmonic Waveguide," in Optical Fiber Communication Conference/National
Fiber Optic Engineers Conference 2011, OSA Technical Digest (CD) (Optical
Society of America, 2011), paper JThA017.
8
Chapter 2. Surface Plasmon Polaritons
9
Chapter 2
Surface Plasmon Polaritons
Surface plasmon polaritons (SPPs) are density waves of coherent electrons oscillating
at the interface between any two materials whose real parts of permittivities have
opposite signs (e.g. metal-dielectric interfaces) [1-2]. Similar to photonics, surface
plasmons (propagating or localized) are referred as plasmonics. As a primer, this
chapter discusses the fundamentals and basics of surface plasmon polaritons. Firstly,
we present plasma models for describing optical properties of noble metals. We then
consider a simple example of a two-dimensional metal-dielectric interface, in order to
explain the concept of surface plasmons. In the chapter end, we compare a typical
plasmonic waveguide i.e. metal-insulator-metal waveguide with a traditional photonic
waveguide, explaining SPP waveguide’s diffraction-free confinement.
2.1 Plasma model
Since SPPs originate from the electron oscillations, metals with numerous free
electrons are natural materials used in plasmonics. Plasma model of metals is the basis
to study SPPs [3-5]. It depicts the interaction of the mobile electrons at the metal
surface with external electromagnetic excitations, which is also referred as the metal’s
dielectric function or permittivity. Drude model, developed by a German physicist Paul
Drude in 1900, provides a fairly accurate description of metal’s conductivities. The
model neglects the detailed lattice potential and interactions between electron-electron
or electron-ion, and free electrons oscillating in one dimension are assumed to obey the
classical kinetic equation expressed as
mx + mγx = - eE, (2.1)
where 𝑚 and 𝛾 are averaged effective optical mass and collision frequency of the free
electron, respectively, e is the elementary charge and E = E0e-iωt is the external
oscillating electrical field. Solving the differential equation Eq. 2.1, we obtain a
solution given by
x = - e/m(ω2 + iγω)E. (2.2)
The solution can be inserted into the expressions of polarization density P = - nex (n is
the electron density) and electrical displacement D = ε0E + P. By combining the
equations, the metal’s dielectric function is derived as
Chapter 2. Surface Plasmon Polaritons
10
εm=1 - ωp2/(ω2 + iγω), (2.3)
where ωp is the plasma frequency given by ωp2 = ne2/ε0m. For some cases (e.g. in
noble metals), the bands are filled till close to the Fermi surface [5] and a background
permittivity ε∞ is introduced. More commonly, we use an extended form of Eq. 2.1
given by
εm= ε∞ - ωp2/(ω2 + iγω) . (2.4)
It’s worth mentioning that Eq. 2.4 is obtained by modelling the free electron excitations.
However, at high frequencies, interband transitions of bound electrons in some metals
(for example gold) are significant, hence Drude model fails to apply. In these cases, we
refer to Drude-Lorentz model, which emulates the interband transition of bound
electrons by a spring with a restoring force. We denote the resonance frequency of the
spring as ω0. The kinetic equation of electrons is then modified as
mx + mγx + mω02x = - eE. (2.5)
Solving Eq. 2.5, we obtain the expression of distance as
x = - e/m(ω2 - ω02 + iγω)E. (2.6)
Similarly, combing Eq. 2.6 with macroscopic polarization and electrical displacement
equations, we derive the metal’s permittivity as
εm = ε∞ - ωp2/(ω2 - ω0
2 + iγω). (2.7)
When taking into account bounds from multiple nuclei, a more general form of
Drude-Lorentz model can be written as
εm = ε∞ - ∑ ωp2/(ω2 - ω0i
2 + iγω)i . (2.8)
In this thesis, we use Drude model of Eq. 2.4 to describe silver, while Drude-Lorenz
model of Eq. 2.8 is used to describe gold, unless otherwise mentioned [6-7]. The chosen
parameters in the models are fitted by the experimental data from Johnson and Christy
[8]. Fig. 2.1 shows the real (ε′) and imaginary (ε") parts of the fitting results. One can
see that in our concerned communication wavelength range (1.3 μm to 1.6 μm), the
models provide very accurate description of the metals’ optical properties.
Chapter 2. Surface Plasmon Polaritons
11
Figure 2.1. Imaginary and real parts of permittivities given by plasma models, fitted with
experimental data from Johnson and Christy [8]. (a) Silver modelled by Drude model. (b) Gold
modelled by Drude-Lorentz model.
2.2 Surface plasmons at a metal-insulator interface
Since metals can be described by classic plasma models, the study of the lightwave
behavior of SPP waves is a classic problem, governed by Maxwell’s equations. To
explain the concept of surface plasmon polaritons, we consider a planar SPP waveguide
composed of a metal layer (of a permittivity 𝜀1 ) and a dielectric layer (of a
permittivity 𝜀2) as schematically shown in Fig. 2.2(a). Here, the wave vector is along
x+ direction and no spatial variation along y direction is assumed. Thus, the
propagation wave can be written as E = E(z)eiβx and satisfies Helmholtz equation [9]
0k22 EE . (2.9)
Figure 2.2. Surface plasmon polaritons at a metal-dielectric interface. (a) Schematic diagram of
the electron oscillations. (b) Dispersion curve of SPPs supported by a silver-air interface. (c) Hy
field distribution along the metal-dielectric interface.
0 0.5 1 1.5 2-250
-200
-150
-100
-50
0
50
0 0.5 1 1.5 20
2
4
6
8
'' (Johnson & Christy)
'' (Drude Model)
' (Johnson & Christy)
' (Drude Model)
0 0.5 1 1.5 2-200
-150
-100
-50
0
50
0 0.5 1 1.5 20
5
10
15
20
25
30
' (Johnson & Christy)
' (Drude-Lorentz Model)
'' (Johnson & Christy)
'' (Drude-Lorentz Model)
Wavelength (μm)
ε(A
u)
imagin
ary p
art
ε(
Au
) re
al p
art
Wavelength (μm)
(a) (b)
ε(A
g)
imag
inar
y p
art
ε(
Ag)
real
par
t
Chapter 2. Surface Plasmon Polaritons
12
Similar expression also stands for the magnetic field H. For a planar transverse
magnetic (TM) polarized light, there exist only 𝐸𝑥, 𝐸𝑧 and 𝐻𝑦 components. Solving
the Maxwell’s equations gives their explicit expressions as
z ≥ 0
E = (iA2k2, 0, -A1β) eiβx - k2z/ωε0ε2, (2.10)
H = (0, 1, 0)A2eiβx - k2z (2.11)
z < 0 E = (-iA1k1, 0, -A1β)eiβx + k1z/ωε0ε1, (2.12)
H = (0, 1, 0)A1eiβx + k1z. (2.13)
In addition, E and H need to satisfy the boundary conditions along the metal-dielectric
interface (𝑧 = 0), i.e. Ex1 = Ex2, Hy1 = Hy2 and ε1Ez1 = ε2E
z2. By combining these
conditions with Eq. 2.10 - 2.13, we derive the propagation constant of the TM-polarized
SPP mode as
β = k0√ε1ε2/(ε1 + ε2). (2.14)
From Eq. 2.14, the effective refractive index of the plasmonic mode can be given:
ne = R(β)/k0. To evaluate the propagation loss due to metal dissipation, propagation
length can be defined as the 1/e plasmon decay length [5]; and it is evaluated by
Lp = k02/2I(β). For a silver-air interface, the supported SPP wave has a dispersion
relation as shown by the solid line in Fig. 2.2(b). The gray area is leakage region below
the airline, and the singularity point (𝜔/𝜔 ~ 0.51) corresponds to the condition when ε’
= -1. Furthermore, by inserting Eq. 2.14 into Eq. 2.10 - 2.13, we get the electrical field
distribution along the normal direction of the interface, as schematically illustrated in
Fig. 2.2(c). One may find that the skin depth of metal is significantly smaller than this
of dielectric, suggesting that sub-wavelength confinement can be potentially achieved.
It’s worth mentioning that for TE polarization, one can perform a likewise
theoretical analysis. However, only null solutions to above equations can be derived,
meaning that SPP waveguides do not support TE modes.
2.3 SPP waveguide with subwavelength confinement
As discussed in Section 2.2, a metal-dielectric interface supports propagating confined
surface plasmon polaritons. However, one can see from Fig. 2.2(c) that although
electromagnetic fields of the SPP mode decays rapidly in the metal side, there exhibit
slow-decaying tails in the dielectric side. Hence, such waveguide cannot provide the
desired subwavelength confinement. A straightforward solution is to add another
metal-dielectric interface and form a so-called metal-dielectric-metal (MDM)
waveguide in order to pursue a more compact confinement [10]. Fig. 2.3(a) shows the
schematic diagram of the sandwich structure of a 2D MDM waveguide. A traditional
waveguide is shown in Fig. 2.3(b) for comparison. Here, the wave propagates along x+
direction; silver is chosen as the plasmonic material; the dielectric core is silicon with a
Chapter 2. Surface Plasmon Polaritons
13
permittivity of 12; cladding material is air. Similarly, the dispersion relations of TM
mode in SPP waveguide and TE mode (most compact) in Si waveguide can be derived.
The effective indices and propagation length (of SPP waveguide) as functions of
waveguide core w are then calculated, as shown in Figs. 2.3(c)-(d). Figs. 2.3(e)-(f)
show the corresponding field distributions. One can see that in traditional dielectric
waveguide, optical field penetrates through the waveguide core and extends with a long
tail into the low-index claddings. In contrary in MDM waveguide, light can be tightly
confined in the slot between the metal slabs, even when the slot width decreases
dramatically, suggesting that subwavelength confinement beyond the diffraction limit
of light is enabled. However, highly localized surface plasmons also imply tremendous
losses. In the studied MDM waveguide, propagation length is typically of the order of
10 μm, as shown in Fig. 2.3(c).
Figure 2.3. (a) Schematics of a metal-dielectric-metal (MDM) waveguide; here, the dielectric is
silicon and metal is silver. (b) Schematics of a traditional optical waveguide (Air-Si-Air). (c)
Effective index and propagation length of TM mode in MDM waveguide as functions of
waveguide width w. (d) Effective index of TE mode in Si waveguide as a functionsof w. (e) Hy
field distributions of MDM waveguide’s TM mode along z axis for w = 50 nm, 100 nm and 200
nm. (f) corresponding Ey field distributions of Si waveguide’s TE mode.
Chapter 2. Surface Plasmon Polaritons
14
References
[1] J. J. Burke, G. I. Stegeman, T. Tamir, “Surface-polariton-like waves guided by thin,
lossy metal films,” Phys. Rev. B 33, 5186-5201 (1986).
[2] G. I. Stegeman, D. G. Hall, and J. J. Burke, "Surface-polaritonlike waves guided
by thin, lossy metal films," Opt. Lett. 8, 383-385 (1983).
[3] L. Novotny and B. Hecht, Principles of Nano-Optics (Cambridge University Press,
Cambridge, 2006), p. 408.
[4] M. Fox, Optical properties of solids (Oxford University Press, New York, 2003).
[5] S. A. Maier. Plasmonics: Fundamentals and Applications. (Springer, 2007.)
[6] A. Vial and T. Laroche, “Comparison of gold and silver dispersion laws suitable
for FDTD simulations,” Appl. Phys. B93(1), 139–143 (2008).
[7] P. G. Etchegoin, E. C. Le Ru, and M. Meyer, “An analytic model for the optical
properties of gold,” J. Chem. Phys.125(16), 164705 (2006).
[8] P. B. Johnson and R. W. Christy, "Optical Constants of the Noble
Metals,"Phys. Rev. B6, 4370 (1972).
[9] J. D. Jackson. Classical Electrodynamics. Wiley, New york, 3th edition, 1998.
[10] G. Veronis and S. Fan, "Guided subwavelength plasmonic mode supported by a
slot in a thin metal film," Opt. Lett. 30, 3359-3361 (2005).
15
Chapter 3
Design and Simulation Methods
Since the formulation in 1873, Maxwell’s equations have stated the fundamentals to
analyze electromagnetic (EM) waves. As a set of partial differential equations (PDEs),
Maxwell’s equations normally have no analytical solutions except for certain simple
problems (e.g. the 2D planar waveguides as discussed in Chapter 2). Numerical
methods with the help of powerful computational resources are required in order to
study sophisticated photonic components in optical fiber telecommunication systems,
photonic integrated circuits and nanophotonics. In this chapter, we introduce two
frequently used design and simulation methods: finite-difference time-domain method
(FDTD) and finite element method (FEM). We derive the basic implementation
principles and some practical examples are given for a deep understanding.
3.1 Finite-difference time-domain method
In this section, Finite-difference time-domain method (FDTD) and its working
principle are introduced. FDTD was firstly proposed by K. S. Yee in 1966 [1]. After
nearly half-century’s development [2-3], it has become a very sophisticated tool for
modeling electromagnetics. In this thesis, 3D-FDTD is frequently used to simulate the
lightwave propagation properties (e.g. transmission, reflection, filtering, coupling, etc.)
of photonic as well as plasmonic components, such as bends, directional couplers,
resonators, etc. Compared with other methods such as beam propagation method
(working under a slow-varying envelope approximation) [4], transfer matrix method
(simplifying EM fields into rays) [5], FDTD is rigorous and accurate because very few
approximations are made during the implementations. The basic idea of FDTD is to
discretize Maxwell’s equations (a set of time-dependent PDEs) both in space and time
domains. At one time node, FDTD solves the electromagnetic (EM) fields in each
special unit cell. Then at next time node, EM fields are updated based on the fields from
previous time node. Given enough running time and high gridding resolutions (time
and space), FDTD simulation results can be very accurate. In addition, since FDTD is a
time-domain method, a wide wavelength range can be simulated with a single run,
which significantly saves the computational time. It’s also noted that diverse materials
(dispersive, nonlinear and gain materials) can be incorporated into FDTD
implementation.
In order to deeply understand the FDTD algorithm, here we consider FDTD
implementation process of simulating a nondispersive and isotropic medium. We start
with Maxwell equations
Chapter 3. Design and Simulation Methods
16
0t
BE , (3.1)
t
DH j . (3.2)
Combining Eq. 3.1-3.2 with , and B H D E j E , we get
EH
1
t, (3.3)
EHE
1
t, (3.4)
where , and are the material permittivity (in a unit of F/m), permeability (in a unit
of H/m) and electric conductivity (in a unit of S/m, contributing to the dissipation of
SPP propagations). Then, we discretize the time-dependent partial derivatives in Eq.
3.3-3.4 with a time step of t . At a time node t n t , we get
n2
1n
2
1n
1
tE
HH
, (3.5)
2
1n
2
1n
1nn 1
t
HE
EE
. (3.6)
Here, a central difference approximation is applied. Eq. 3.5 and Eq. 3.6 can be written
in scalar expressions as
1 1
2 2 1( )
n n nnyx x z
EH H E
t y z
, (3.7)
11
( )
nn n nynx x z
x
HE E HE
t y z
. (3.8)
Note that, Eq. 3.5 (3.6) gives Eq. 3.7 (3.8) and other two equations with similar forms.
Here only Eq. 3.7 (3.8) is shown for conciseness.
Figure 3.1. Yee lattice in a Cartesian coordinate system. , and x y z are the grid sizes in
respective directions.
Next, in the spatial domain, the space-dependent partial derivatives can also be
Chapter 3. Design and Simulation Methods
17
discretized. Fig. 3.1 shows the schematics of one element (also known as Yee lattice) of
the computational regions in a Cartesian coordinate system. Here, , and x y z are the
grid sizes in respective directions; , and i j k are integers and the lattice center
coordinates are ( , , i x i y k z ). Then, Eq. 3.7 and Eq. 3.8 can be re-written as
1 1
2 2
1 1( , 1, ) ( , , )
1 1 1 1 2 2( , , ) ( , , ) [2 2 2 2
1 1( , , 1) ( , , )
2 2 ], 3.9
n n
z zn n
x x
n n
z z
E i j k E i j kt
H i j k H i j ky
E i j k E i j k
z
1 1
2 2
1
1 1
2 2
1 1 1 1( , , ) ( , , )
1 1 2 2 2 2( , , ) ( , , ) [2 2
1 1 1 1( , , ) ( , , )
2 2 2 3.102 ],
n n
z zn n
x ijk x ijk
n n
y y
H i j k H i j k
E i j k A E i j k By
H i j k H i j k
z
where
1 1( , , ) ( , , )
2 2(1 ) / (1 )1 1
2 ( , , ) 2 ( , , )2 2
ijk
i j k t i j k t
A
i j k i j k
, (3.11)
1( , , )
2( ) / (1 )1 1
( , , ) 2 ( , , )2 2
ijk
i j k tt
B
i j k i j k
. (3.12)
Then, we obtain the central equations for FDTD simulations i.e. Eq. 3.9-3.10 (and
other four equations not shown). Maxwell’s equations are simplified into these simple
algebraic formulas. One may find that in space domain, only E fields in the line centers
and H fields in the face centers need to be calculated, as illustrated by the arrows in
Fig. 3.1; while in time domain, H fields at time node 1
( )2
n t i.e. 1
2n
H can be
calculated by nE and
1
2n
H ; while 1nE are derived by n
E and 1
2n
H . Given an
initial condition, the evolutions of EM fields with time can be iteratively solved by
computers. The updating of E fields is done at time steps of 0, t , 2 t …, while H fields
are calculated at time steps of 0.5 t , 1.5 t , 2.5 t ...
In FDTD simulations, perfectly matched layers (PMLs) are normally used as
boundary conditions [6]. Their permittivity and permeability are specially designed so
that optical waves propagating from nonPML to PML decay rapidly but experience
negligible reflection. FDTD simulations also need to satisfy the Courant stability
Chapter 3. Design and Simulation Methods
18
condition in order to obtain convergent solutions, which is written as [7]
2 2 21 1 11/ ( ) ( ) ( )c t
x y z
. (3.13)
It’s noted that in practical simulations, 2 dimensional (2D) FDTD assisted by effective
index method can be used to simplify 3D models [8]. This is extremely useful for
large and slow-varying photonic components. However, in more general cases, 3D
FDTD simulation is recommended for accurate solutions. Fig. 3.2 shows two relevant
examples using MEEP based FDTD simulations [9]. Fig. 3.2(b) studies the beating
length of a directional coupler (schematically shown in Fig. 3.2(a)) [10]. Here, the 2D
model is simplified from a 3D silicon-on-insulator circuit by equivalent effective
index method [11]; the excitation source in FDTD simulations is continuous wave at
1550 nm. When using a pulse light as excitation, the wavelength-dependent splitting
ratios can be studied by frequency analysis using discrete Fourier transforms (DFT).
Fig. 3.2(d) simulates a 3D L3 photonic crystal (PhC) cavity (with hexagonal lattice,
schematically shown in Fig. 3.2(c)) [12]. In the first study, we employ a transient
pulse light to excite the cavity. Then, by performing DFT analysis of the decay of
electric fields, the cavity’s resonant frequencies and quality factors can be extracted. In
the second study, we use a continues wave at the resonant frequency as excitation, and
the field distributions of a cavity mode can be simulated, as shown in Fig. 3.2(d).
Figure 3.2. (a) Schematics of a two-dimensional directional coupler. The core is assumed as Si
with dimensions of 300×300 nm2; the cladding is SiO2. After equivalence by effective index
method, ε1 = 6.85 and ε0 = 2.1. (b) Ey field distributions of the 2D directional coupler at a
continuous wave excitation. Computational domain [x, z] = [(-1,1) , (0, 20)] μm. (c) Schematics
of a 3D L3 photonic crystal cavity. The permittivities of cladding and core are ε2 = 1 and ε3 =
11.2, respectively. (d) Ey field distributions of a resonant mode of the L3 cavity.
Chapter 3. Design and Simulation Methods
19
3.2 Finite element method
This section discusses finite element method (FEM). We know that normally practical
physics problems can be simplified into boundary value models governed by different
kinds of nonlinear partial differential equations (PDEs) such as Maxwell’s equations,
the laws of thermodynamics, Newton’s laws of motions, structural mechanic laws, etc.
As a powerful algorithm discretizing PDEs, FEM is useful not only in computational
electromagnetics (e.g. photonics) but also in other areas of physics as well as solving
coupled multi-physics problems [13]. In this thesis, we use FEM primarily as optical
mode solvers; it is also used for solving multiphysics problems. FEM is a nice
supplement to FDTD techniques. We note that mode analyses of optical waveguides
are fundamental for investigation of photonic components (with slow variations along
the propagation axis). Studying the mode effective index can largely simplify the
model’s complexity. Beside FEM, frequently used mode solvers also include finite
difference method [14], film mode matching method [15], etc.
In FEM implementations [16-17], the computational domain is firstly meshed into
smaller subdomains i.e. finite elements (with shape of triangular, quadrilaterals, etc.).
Then complicated PDEs are transferred into simple equations by discretizing spatial
partial derivatives. In each subdomain, local residual function is defined to depict the
solutions’ convergence, and then all local functions are recombined and systematically
re-assembled into a group of global residual equations. Finally, by combing with initial
values and boundary conditions, user-desired solutions at specific elements can be
computationally obtained. Note that in the acquisition of stable and convergent
solutions, variational methods are used to minimize the residual functions.
Figure 3.3. A triangle element in the FEM implementation.
In the next paragraphs, we consider a simple example: a 2D FEM analysis of an
optical waveguide mode, in order to get a deeper understanding of FEM
implementations. As discussed in previous sections, the basis of solving the guiding
electromagnetic wave is to find solutions to the governing Helmholtz equation
2 2 0k , (3.14)
where denotes the desired electric or magnetic field. A residual function can then be
defined as
Chapter 3. Design and Simulation Methods
20
2 2 2 21( ) ( ) ( ) )
2S
R k dSx y
, (3.15)
depicting the error or convergence of the obtained solutions. Since the computational
domain is discretized into finite elements (with a total number of N), the global residual
can be re-written as the sum of the local residual functions in all elements:
2 2 2 2
1
( ) [( ) ( ) ]2
Ne e e
e
e
AR k
x y
. (3.16)
Here, e denotes one triangular element as illustrated in Fig. 3.3. The element area is Ae,
and 1, 2 and 3 denotes the element’s three nodes. We assume that at a random point O
in the element, e can be approximated as a polynomial function of x and y,
2 2 ...e a bx cy dx fy gxy . Note that higher power of the polynomial
function ensures higher accuracy, but more computational resources are required. For
simplicity, e is taken as a linear function of x and y in our following analysis
e a bx cy . (3.17)
Applying Eq. 3.17 in the nodes 1, 2, 3, we obtain three linear equations
( 1, 2, 3)ei i i ia b x c y i . Combining these equations, we derive the expressions
of a, b and c as
, , ea b c
a
b
c
, (3.18)
where 1 2 3 1 2 3 1 2 3 1 2 3, , , , , , , , , , ,e e e ea a a b b b c c c a b c ,
1 2 2 1( ) / (2 )i i i i i ea x y x y A , 1 2( ) / (2 )i i i eb y y A and 2 1( ) / (2 )i i i ec x x A . Then,
Eq. 3.18 can be inserted into Eq. 3.17, and we obtain
T
e e u , (3.19)
where 1 2 3, , and i i i iu u u u a b x c y u .
Moreover, x and y dependent partial derivatives can be discretized, and according to
Eq. 3.19, we obtain
Tee
x
b , (3.20)
Tee
y
c . (3.21)
Chapter 3. Design and Simulation Methods
21
Then, we can insert Eq. 3.20-3.21 in Eq. 3.16, and the residual function can be
rewritten in a matrix form as
2
1
( ) { }2
NT Te
e e e e e e
e
AR k
K L , (3.22)
where T T
e K b b c c and
2 1 11
1 2 112
1 1 2
e
L .
If assuming the nodes’ number in the whole computational domain is M, Eq. 3.22 can
be recombined and reassembled, and we derive a global residual equation given by
2( ) { }2
g T g TeAR k K L , (3.23)
where 1 2, ... M is the matrix of electromagnetic fields in M nodes;
1
=N
g g
e
e
K K and 1
=N
g g
e
e
L L are M×M global matrices obtained by adding all local
matrices eK and eL . Hence, all except a 3×3 submatrix (corresponding to eK and eL ),
elements in g
eK and g
eL are all zero.
Until now, we have obtained the central equation Eq. 3.23 for FEM simulations.
PDE is simplified into the linear and matrix form, and the numerical analysis can be
readily implemented by e.g. Matrix-based MATLAB when incorporating with
boundary conditions and initial values.
In this thesis work, we use commercial software COMSOL Multiphysics for the
FEM simulation [18]. For complicated problems which are computationally costly, we
run COMSOL in remote shell servers at Royal Institute of Technology (KTH) i.e. HP
Proliant (with 12 cores and a RAM of 82 GB) [19]. Fig. 3.4 shows an example of
COMSOL simulations of a multi-physics problem, which studies the thermo-optic
(TO) effect of a micro heater placed on top of a SOI waveguide. Here, Si waveguide
has a core size 450×250 nm2, and it’s embedded in SiO2 with a micro heater placed
500 nm on top. Fig. 3.4(a) shows the optical mode distribution in the SOI waveguide;
while Fig. 3.4(b) shows the temperature distributions when the temperature of the
micro heater increases from 200C to 40
0C. By coupling these two models together,
influence of micro heater on the optical waveguide can be predicted.
Chapter 3. Design and Simulation Methods
22
Figure 3.4. (a) Optical mode distribution of a Si waveguide at room temperature. (b)
Temperature distribution when increasing the micro heater’s temperature from 200C to 40
0C.
References
[1] K. S. Yee, “Numerical solution of initial boundary value problems involving
Maxwell's equations in isotropic media,” IEEE Trans. Antennas Propagation, vol.
14, pp. 302-307 (1966).
[2] A. Taflove, “Review of the formulation and application of the finite-difference
time-domain method for numerical modeling of electromagnetic wave infractions
with arbitrary structures”, Wave Motion, vol. 10, pp. 547-582 (1988).
[3] A. Taflove, “Computational Electrodynamics: The Finite-difference Time-domain
Method”, Artech House, Norwood, MA (1995).
[4] J. van Roey, J. van der Donk, and P. E. Lagasse. Beam-propagation method:
analysis and assessment. J. Opt. Soc. Am., 71:803–810, (1981).
[5] J. Hong, W. Huang, and T. Makino, “On the transfer matrix method for
distributed-feedback waveguide devices,” J. Lightwave Technol. 10(12), 1860–
1868 (1992).
[6] W. P. Huang, C. L. Xu, W. Lui, and K. Yokoyama, “The perfectly matched layer
(pml) boundary condition for the beam propagation method,” IEEE Photon.
Technol. Lett., vol. 8, pp. 649-651 (1996).
[7] F. Zheng, Z. Chen, and J. Zhang, “A finite-difference time-domain method without
the Courant stability conditions,” IEEE Microw. Guided Wave Lett.9(11), 441–
443 (1999).
[8] E. I. Smotrova, A. I. Nosich, T. M. Benson, and P. Sewell, "Cold-cavity thresholds
of microdisks with uniform and nonuniform gain: quasi-3-D modeling with
accurate 2-D analysis," IEEE J. Sel. Top. Quantum Electron. 11, 1135-1142
(2005).
[9] Ardavan F. Oskooi, David Roundy, Mihai Ibanescu, Peter Bermel, J. D.
Joannopoulos, and Steven G. Johnson, “MEEP: A flexible free-software package
for electromagnetic simulations by the FDTD method,” Computer Physics
Communications 181, 687–702 (2010).
[10] A. Hardy and W. Streifer, “Coupled mode theory of parallel waveguides,” J.
Lightwave Technol.3(5), 1135–1146 (1985).
[11] G. B. Hocker and W. K. Burns, "Mode dispersion in diffused channel waveguides
by the effective index method," Appl. Opt. 16, 113-118 (1977).
Chapter 3. Design and Simulation Methods
23
[12] Y. Akahane, T. Asano, B.S. Song, and S. Noda, "High-Q photonic nanocavity in
two-dimensional photonic crystal," Nature 425, 944 (2003).
[13] G. Strang and G. Fix. An Analysis of The Finite Element Method. Wellesley
Cambridge Press, 2nd edition, 2008.
[14] M. S. Stern, "Semivectorial polarized finite difference method for optical
waveguides with arbitrary index profiles," IEE Proc. J. Optoelectron. 135, 56-63
(1988).
[15] A. S. Sudbo, "Film mode matching: a versatile numerical method for vector mode
field calculations in dielectric waveguides," Pure Appl. Opt. 2, 211-233 (1993).
[16] M. N. O. Sadiku, "A simple introduction to finite element analysis of
electromagnetic problems", IEEE Trans. Educ., vol. 32, pp.85 -93 (1989).
[17] J. P. Bastos and N. Sadowski Electromagnetic Model by Finite Element
Methods, 2003.
[18] COMSOL Multiphysics: http://www.comsol.com/
[19] http://www.kth.se/ict/2.9136/computer-systems/shell-servers
24
Chapter 4. Fabrication and Characterization Techniques
25
Chapter 4
Fabrication and Characterization Techniques
In this chapter, we firstly give an overview of the fabrication process of photonic
integrated circuits (PICs). Then the fabrication techniques for film deposition, litho and
etching which are frequently used in this thesis are summarized. After discussing the
material and device characterization methods, we present experimental results of some
photonic devices in the chapter end.
4.1 Photonic integrated circuits: an overview
In modern optical fiber communications and optical interconnects systems, a large
number of sophisticated optical components are usually required. To bring down the
overall cost, reduce the system volume, increase the performance stability etc., it’s
essential to replace the conventional bulk components with photonic integrated circuits
(PICs).
Various material platforms can be used for PICs, among which, silica-on-silicon is
commonly used in current telecommunication systems such as dense wavelength
division multiplexing (DWDM) [1]. The advantages include low-loss and convenient
coupling, etc. However, the integration density is limited because the low index
contrast between the core and the cladding is not suitable for sharp bends. As an
example, an arrayed waveguide grating (AWG) which is frequently used as
(de)multiplexers has a typical size of cm2 [2]. III-V semiconductor platform, on the
other hand, is widely used in optoelectronics to realize active photonic devices
including detectors, optically/electrically pumped lasers, amplifiers, modulators, etc.
[3-4]. The refractive index of III-V semiconductors is large, enabling denser
integrations, e.g. size of AWG based on III-V can be decreased to mm2 [5]. However,
the technology has certain drawbacks such as expensive material, high absorption,
and low fabrication throughput (typical wafer size is 3 inch) [6]. On the contrary,
PICs based on silicon-on-insulator (SOI) platform are very promising. Si has a very
high refractive index (comparable to III-V, much larger than silica), and it is transparent
in telecom wavelength. As an element, it is abundant in nature. Most importantly, Si
wafer is much less fragile than III-V wafer, thus large wafer-scale (SOI wafer size can
reach 12 inch) processing is feasible; and the fabrication is highly compatible with the
existing CMOS processes which are already used to fabricate nowadays electronic
circuits [7-8].
Fig. 4.1 shows the flow chart of the main processes in semiconductor fabrications.
Starting with a wafer or a small piece of sample (used in research e.g. in our
Chapter 4. Fabrication and Characterization Techniques
26
experiment), film depositions are firstly performed. Plasma enhanced chemical vapor
deposition (PECVD) is used for deposition of amorphous silicon (a-Si), silicon dioxide,
silicon nitride, etc. Electron beam evaporation (EBE) is normally used for coating of
metal layers. After film deposition, the GDS (a file format used for pattern generation)
designs are transferred to resists by lithography. Widely used litho methods include:
photolithography, nanoimprint, electron beam lithography (EBL), etc. Then etching
processes are used to transfer the patterns from photoresists or Ebeam resists to
semiconductors. We use dry etching technique e.g. inductive coupled plasma - reactive
ion etching (ICP-RIE) for anisotropic and deep etchings. Wet etching is applied for
creating undercuts.
In practical fabrications, the chips are normally processed for multiple rounds, and
several other fabrication processes might be included, such as doping,
chemical-mechanical polishing (CMP), annealing, oxidization, etc.
Figure 4.1. Flow chart of a typical semiconductor fabrication process.
After finishing the chip fabrication, characterizations are performed to test the
overall performance. Normally, light from a tunable laser source can be coupled into
fabricated devices by grating couplers or butt coupling. We also use an evanescent
coupling method to couple fiber mode into hybrid plasmonic modes. The transmission
properties can be tested by monitoring the outputs with infrared (IR) CCD camera. An
optical pump system is also built up to characterize the spontaneous emission from
III-V cavities.
The reminder of this chapter is organized as follows: section 4.2 introduces the
major used micro/nano fabrication tools. Section 4.3 explains the characterization
methods and section 4.4 summarizes the experimental results.
4.2 Fabrication techniques
4.2.1 Plasma enhanced chemical vapor deposition
Commercial SOI wafer normally has standard thicknesses of oxide buffer and top
silicon film. To form SOI wafers with any desired film thicknesses, we use plasma
enhanced chemical vapor deposition (PECVD) technology for hydrogenated
amorphous silicon (a-Si:H) and SiO2 deposition. Very high-quality materials and
accurate control of film thicknesses can be achieved by recipe optimization. Figure 4.2
illustrates a simple sketch of the PECVD system we use in the Electrum lab at KTH
Chapter 4. Fabrication and Characterization Techniques
27
[9-11].
The deposition takes place mainly in following steps. At first, the PECVD chamber
is evacuated and pumped down. Then the precursory gas mixtures are injected
uniformly into the chamber through a showerhead (with a large number of holes with
diameter ~ 0.4 mm). Thirdly, by applying RF (radio frequency) power with a suitable
frequency (LF - low freq. 380 kHz or HF - high freq. 13.56 MHz), plasma can be
created after gases passing through the showerhead. By interacting with plasma’s
highly energetic electrons, the precursory gas mixtures are dissociated into free
molecules; and these molecules can diffuse to the sample surface and form new bonds
through chemical reactions, resulting in the film depositions.
Figure 4.2. A sketch of the plasma enhanced chemical vapor deposition system. Reprinted from
[12] with permissions.
We need to note that for each process the actual plasma impedance is adjusted
automatically by the matching units. LF generator allows for applying high energetic
plasma up to 1000 W and HF generator allows for plasma up to 300 W. In addition, the
chamber pressure and temperatures of shower head and bottom electrode also need
systematic control in the PECVD reactor. Typical pressure ranges are from a few
millitorr (mTorr) to a few hundred mTorr during the process, adjusted by the valve of
the vacuum pump, while typical deposition temperatures are kept around 250 oC or 300
oC.
Table 4.1. Optimized PECVD recipes for SiO2 and a-Si:H deposition.
Materials SiO2 a-Si:H
SiH4 flow 20 sccm 60 sccm
N2O flow 2000 sccm NA
Ar2 flow NA 270 sccm
Pressure 300 mtorr 5 mtorr
RF power 800W 10W
RF frequency 380 kHz 13.56 MHz
Platen temperature 250 oC 250
oC
Showerhead temperature 300oC 250
oC
Deposition rate ~320 nm/minute 5 nm/minute
Chapter 4. Fabrication and Characterization Techniques
28
The optimized recipes of a-Si:H and SiO2 PECVD deposition are given in Table
4.1, where chemical reactions SiH4 (g) → Si (s) + 2H2 (g) and SiH4 + 2N2O → SiO2 + 2
H2 + 2N2 are applied. Note that for the deposition of a-Si:H, the hydrogen quota
(provided by SiH4) should be properly chosen in order that the point defects from
unsaturated dangling bonds of silicon are properly depassivated without generating
undesired pores. The refractive indices of as deposited a-Si:H and SiO2 are around
3.545 and 1.45, respectively. The material loss of a-Si:H is around 1.5dB/cm. The
surface roughness (RMS) of a-Si:H and SiO2 measured by atomic force microcopy
(AFM) are around 8 Å and 4 Å, respectively; accuracy of deposition thickness can be
controlled within several nm [13].
4.2.2 Electron beam evaporation
Electron beam evaporation (EBE) is a form of physical vapor deposition. In our
experiments, EBE is used for coating gold, aluminum oxide, silver, titanium,
germanium, etc. Fig. 4.3 illustrates a simple sketch of the EBE system (Provac PAK
600) used in Electrum lab at KTH. During the evaporation process, the material to be
coated is firstly bombarded with energetic electron beams emitted by a charged
filament in a high vacuum chamber. Then the sample temperature increases and
transforms the material into gaseous phase. Afterwards, the vapors diffuse to the
sample surface and precipitate into solid form since the sample temperature is far below
the material’s melting point. In the EBE system, the material is filled in a crucible
placed on a holder which is water-cooled. The crucible can be rotated and there exist 7
pockets containing different materials. A shutter is automatically controlled during the
process in order to blank the vapors before e-beam stabilizes. The sample to be coated
is placed upside down on a wafer holder, which is mounted on a rotatory shaft (speed:
10 - 20 rpm) in order to achieve uniform coating. The coating thickness is dynamically
monitored by a quartz crystal. It’s noted that a very low pressure around 5×10-7
mbar
should be maintained during the process, in order to avoid undesired oxidation and
impurities. The deposition rate of EBE can be adjusted within a very wide range from 1
nm/min to 1 μm/min. In our experiments, gold and silver are deposited at a rate of 1 Å/s
and aluminum oxide at a rate of 2 Å/s. The surface roughness (RMS) of as deposited
gold measured by atomic force microcopy (AFM) is around 8 nm [Paper A].
Figure 4.3. A sketch of the electron beam evaporation system.
Chapter 4. Fabrication and Characterization Techniques
29
4.2.3 Electron beam lithography
After film deposition, lithography techniques are applied to transfer the user-defined
micro/nano patterns onto the sample. Standard litho methods include: conventional
photolithography (mercury lamp g-line 436 nm and i-line 365 nm), deep ultra-violet
(DUV) photolithography (excimer lasers 248 nm and 193 nm), nanoimprint
lithography (with template), electron beam lithography (EBL), focused ion beam (FIB),
etc. For our thesis work, we use primarily EBL system in Albanova Cleanroom at KTH
to define our nano structures [14-15]. The main advantage of EBL is the high resolution,
e.g. the diffraction limit of electron waves (de Broglie wavelength) accelerated by 10
kV voltage is typically ~ 10 pm. EBL is also easy to implement and very flexible.
The principle of EBL is to use a focused electron beam to scan and draw a
user-defined pattern on a sample surface which is coated with a thin layer of chemicals
(ebeam resists). Upon exposure to electrons, the resists can experience either chain
scission or cross link. Then either the exposed and unexposed areas can be selectively
dissolved when putting the sample into a developer, shaping the user-desired patterns.
Depending on the solubility of the exposed area, resists are classified into positive and
negative resists. Fig. 4.4 shows the respective exposure and development processes.
Then optical post-bake (to improve the resist hardness and adhesion) and oxygen
descum (to clean resist radicals) are performed after development, and the sample is
ready for the following liftoff, etching or ion implantation processes.
Figure 4.4. Exposure and development using positive and negative resists.
Fig. 4.5 shows a sketch of the EBL system (Raith 150 from Raith Gmbh) we used
in Albanova lab at KTH main campus. The main parts include a scanning electron
microscope (SEM), lithographic exposures related hardware and a high precision
moving stage which are all sealed in a sound-proofing container to minimize vibrations.
Other parts include a special D/A (digital-to-analog) converter (pattern generator) and a
global control computer. A simple explanation of EBL implementation process is as
follows. Firstly, electron source is generated by a gun (Gemini column) with a Schottky
field-emission filament, as shown in Fig. 4.5. The column acceleration voltage ranges
from 200 V to 30 kV, determining the beam size as well as the penetration depth. Then
the beam passes through an aperture with typical sizes of 30 μm, 10 μm, 7.5 μm etc.,
where smaller aperture means better beam convergence hence higher resolution while
lower beam current. A beam blanker is cascaded afterwards which can be switched on
and off automatically during the scanning process to avoid undesired exposure. Next,
the beam passes through a group of fine electric and magnetic coils for beam
Chapter 4. Fabrication and Characterization Techniques
30
adjustments including beam alignment, stigmation optimization and beam (de)focusing.
At last, the beam is scanned by a deflecting system which is controlled by signals
transferred from the user-defined patterns by a pattern generator (D/A converter). Note
that, the scanning is realized with the assistance of stage movement (XYZ directions)
which is controlled by a high precision laser interferometric system.
Figure 4.5. A sketch of the electron beam lithography system.
During EBL process, there are following issues worthy special attentions [15-17].
(1) Compensation of stitching errors. As discussed above, in EBL process, the
patterns are scanned by deflecting the beam with a group of magnetic and electric coils.
However, due to the limited deflection angle, only a small area WF (writing field,
typically 100×100 μm) can be scanned at a time. Hence, in order to expose structures
with a footprint larger than a WF, the pattern is divided into multiple WFs and
exposures take places in one WF after another, where the transitions between WFs are
realized by moving the high precision stage. In practice, because of the random errors
existing in the stage movement, there are always significant separations between
neighboring WFs also known as stitching errors. This type of error can be compensated
by several approaches. Writing field alignment is a built-in compensation method. It is
realized by adjusting the deflection system by focusing on a tiny spot (diameter ~ 50 nm)
in multiple neighboring positions. Other compensation methods include over-exposure,
introducing extra-patches, etc.
(2) Compensation of proximity effect. Proximity effect is related to the undesired
exposure of ebeam resist due to the backscattering and forward scattering of the
electron beams. Proximity effect can result in the broadening of the adjusted beam
hence changes the actual exposure area and beam density. This effect is extremely
unfavorable for sensitive ebeam resist e.g. hydrogen silsesquioxane (HSQ) since in
such cases, the scattered beam is intense enough to crosslink the chemical and form
undesired over-exposed areas. When patterning large area (e.g. microdisk) or dense
(photonic crystals) geometries, this effect is also a key role to determine the litho
qualities. Luckily, this effect can be properly compensated by designing the dose
Chapter 4. Fabrication and Characterization Techniques
31
distribution as well as a series of dose test.
(3) Accurate overlay of multiple layers. For complicated devices with multiple
layers, several rounds of lithography need to be performed, and the alignment accuracy
is essential. In the EBL process, together with structures, we also make alignment
markers in each round. These markers can be input into EBL system and the stage
coordinates can be adjusted accordingly. The alignment accuracy is ~ 50 nm -100nm
given sound calibration.
Table 4.2 shows a list of optimized recipes we used in our EBL experiments. Here,
the dose is defined by Dose × exposure area = beam current × exposure time/step size2.
Table 4.2. Optimized electron beam lithography recipes.
Resists ZEP 520A Ma-N 2403
Resist type Positive Negative
Spin speed ~ 5500 rpm ~ 3500 rpm
Acceleration Voltage 25 kV 25 kV
Prebake 180 oC, 10 min 90
oC, 3 min
Dose 60 μAs/cm2 110 μAs/cm
2
Developer P-xylene, 100 sec Ma-D 525S, 3 min 30 sec
4.2.4 Inductive coupled plasma -reactive ion etching
As mentioned in section 4.1, inductive coupled plasma - reactive ion etching (ICP-RIE)
is used in our experiments for anisotropic dry etchings of Si and SiO2. The machine we
use is Advanced Oxide Etcher (AOE) from Surface Technology Systems (STS) [12],
which is suitable for deep etching with vertical sidewalls and accurate dimensions. Fig.
4.6 shows a sketch of the RIE system we use. It consists of following main parts:
inductively coupled coils to generate the etching plasma with required density, a RF
biased platen to accelerate the charged ions, a vacuum chamber which is electrically
grounded, and some other supplementary components. Note that the wafer platen is
normally temperature controlled and cooled by helium flows in order to avoid resist
burning, and the chamber pressure is controlled by adjusting the valve of the central
pump. Actually, in a RIE process, there are two simultaneous processes i.e. etching and
deposition, and the etching mechanism also include two forms: physical bombardment
and chemical reaction [18]. Take Si etching as an example. Firstly, the gas mixture SF6
and C4F8 passes through the inductively coupled coils, generating plasma containing
reactive neutral radicals (F, CFx); and the plasma density is determined by the RF power
applied on the coils. Then, the plasma ions are attracted by the reversed power on platen
and bombard the sample surface. In this process, on one hand, the sample material i.e.
Si reacts with the F radicals (from SF6) and forms volatile chemicals SiF4 which can be
easily removed; on the other hand, CFx monomers (from C4F8) in the plasma results in
the deposition of a carbon-fluorine polymers. For polymers on the sample surface, they
can be sputtered away by the later highly energetic ions, hence the etching in the
horizontal direction can continue; while for the polymers on the sample sidewall, they
experiences negligible physical destruction and they can sustain and act as an etching
prohibitor (passivation) in the vertical direction. In this way, the etching can be
selective and anisotropic.
Chapter 4. Fabrication and Characterization Techniques
32
The net etching rate is determined by the competition between deposition and
etching. By increasing the portion of F radicals and the platen power, both physical and
chemical etching rates are enhanced hence the net etching rate is increased. Increasing
the platen power also means stronger bombardment as well as more vertical sidewall,
but normally it causes more sidewall damage and decreases the mask’s selectivity. High
pressure means denser plasma hence higher etching rate, but stronger ion-ion
interaction which decreases the plasma’s directionality and results in lower aspect ratio.
To achieve a vertical sidewall, special care is also needed to balance the deposition and
etching processes.
Figure 4.6. A sketch of an inductive coupled plasma -reactive ion etching system. Reprinted
from [12] with permissions.
Table 4.3 lists out the ICP-RIE recipes we used for Si and SiO2 etchings in our
experiments. The recipes are optimized for a high aspect ratio etching with vertical
sidewalls.
Table 4.3. Optimized ICP-RIE recipes for Si and SiO2 etchings.
Materials Si (fast) Si (slow) SiO2
C4F8 flow 40 sccm 9 sccm 10 sccm
SF6 flow 28 sccm 6 sccm NA
H2 flow NA NA 15 sccm
He flow NA NA 174 sccm
Pressure 10 mtorr 15 mtorr 15 mtorr
Platen temperature 20 °C 10 °C 10 °C
Coil power 800 W 500 W 1000 W
Platen power 20 W 20 W 200 W
Etching rate ~ 420 nm/min ~ 220 nm/min ~ 280 nm/min
4.3 Characterization methods
This section briefly introduces the methods we use for material characterization and
device characterization.
Chapter 4. Fabrication and Characterization Techniques
33
4.3.1 Material characterization
For the investigation of the thicknesses and dielectric constants (complex refractive
index or dielectric function) of deposited thin films, ellipsometery (Horiba UVISEL ER)
is normally used. In the process, a laser source is projected onto the sample surface with
an angle, and by detecting the change of the polarization and phase of the transmitted or
reflected light and comparing with a standard model, the relevant information can be
found. The source can range from 190 nm to 2100 nm, and the angle can be adjusted
from 55° to 90°. Mapping of up to 8 inch wafers is available.
For the investigation of the sample’s surface profile such as the surface roughness or
the step height due to etching or deposition, we have used stylus profilometer
(KLA-Tencor P-15) and atomic force microscope (AFM from Veeco/Digital
Instruments). In both cases, a fine stylus or tip is used to scan the sample surface and the
height can be translated into detectable signals. In our experiments, stylus profilometer
is normally used for measuring structures with a feature size larger than 1 μm due to
relatively large stylus size. For fine structures, we use the AFM (tip size normally < 100
nm) owing to its accuracy, but the process also consumes much longer time.
Another frequently used tool is scanning electron microscope (SEM from Zeiss) for
measuring the sample's surface topography and composition. Compared with AFM 3D
surface mapping, SEM is easy to implement and takes much shorter time. The
measurement is based on the detection of signals originating from the scattering
electrons when scanning a focused electron beam on a sample surface. The secondary
electrons generated by inelastic scattering are used for the imaging purpose; while
back-scattered electrons generated by elastic scattering are used for the spectral
analysis (also referred as energy-dispersive X-ray spectroscopy: EDS), where the
material compositions can be found.
To measure the photon emission from bandgap semiconductors, photoluminescence
spectroscopy system is used. The measurement was performed at Unit of
Semiconductor Materials at KTH. The detailed description of the system can be found
in [19].
4.3.2 Device characterization
(1) Grating couplers
Grating couplers are a versatile method to couple light from(to) a nano-scale integrated
waveguide to(from) a single mode fiber with a typical diameter of 10 μm [20-21]. The
schematic diagram of a fiber-waveguide grating coupler system is shown in Fig. 4.7,
where the fiber tilting angle is θ; the effective index of incident wave is nin; the grating’s
pitch is Λ, and the Si waveguide effective index is neff .
Figure 4.7. Schematic diagram of a fiber-waveguide grating coupler system.
Chapter 4. Fabrication and Characterization Techniques
34
To fulfill the phase matching between the incident wave and the propagating wave
in Si waveguide, the pitch of gratings need to satisfy Bragg equation
22 2sin( )
effinnn
m
. (5.1)
Grating couplers have the advantages of large tolerance of alignments, high
coupling efficiency, capability of wafer scale test, etc. The drawbacks are that extra
lithography and etching processes are normally needed to define the grating couplers,
and the couplers have limited bandwidth (< 100 nm) and show strong wavelength
dependence.
(2) Direct measurement
Direct measurement is performed using a home-made setup built by Nanophotonics
group at KTH [22-23]. As schematically shown in Fig. 4.8, this setup is especially
useful for measuring the propagation losses, splittings, couplings, etc. of plasmonic
waveguides (or nanowires) [24-26]. In the implementation, the sample is imaged by an
infrared charge-coupled device (CCD) camera with the assistance of a microscope
objective (100×). Illumination light is projected on the sample by two beam splitters
and a shutter is used to switch from dark field imaging to bright field imaging. A fiber
tip fastened on a translational stage is aligned in close contact with the waveguide, and
light can be evanescently coupled from the fiber mode into the waveguide mode. To
characterize the propagation loss, we move the fiber tip parallel along the waveguide
and record the dark field images at different positions by the CCD camera. Then the
intensities of scattered light at outputs and the corresponding propagation distance can
be found by the image processing. To fabricate the fiber tip from a standard single mode
fiber (SMF), we use a hybrid method i.e. flame-heated drawing assisted by wet-etching
[27-28], and the size of the tip diameter is around 1 μm or even smaller.
Figure 4.8. Schematic diagram of a fiber-tip evanescent coupling system. Reprinted from [22]
with permissions.
Compared with methods for characterizing plasmonic devices, e.g. scanning
near-field optical microscopy [29] and leakage radiation microscopy (LRM) [30], the
applied direct measurement is easy to implement and has no requirement regarding the
substrate. The drawback is that it’s not possible to characterize the overall device
performance; it’s not straight-forward to analyze the spectral response either. Note that
different from grating coupler method, direct measurement method can record multiple
outputs with a single measurement. Hence, it is preferred due to its accuracy for
measuring beam-splitting devices such as directional couplers, multimode
Chapter 4. Fabrication and Characterization Techniques
35
interferometers, etc. with various splitting ratios.
(3) Butt coupling
Butt coupling method is another frequently-used approach to characterize photonic
devices. Its main advantage is that it can test the device’s spectral responses in a wide
wavelength range (e.g. > 100nm), which is much larger than the bandwidth of the
grating couplers. In the implementation, light is coupled to the waveguide facet by a
lens (e.g. gradient index lens GRIN) or lensed fiber, as schematically shown in Fig.
4.9(a). The lensed fiber we use is commercially available from OZ optics, which has a
focal spot with a diameter of 2.5 ± 0.5 μm and a working distance of 14 ± 2 μm. In
practical implementations, we also use the tapered fiber for butt coupling [31-33], as
schematically shown in Fig. 4.9(b). The tapered fiber is fabricated following the
procedures discussed in previous section. By adjusting the wet-etching recipes, the tip
diameters can be decreased to several hundred nanometers which can further decrease
the size mismatch between a fiber mode and a waveguide mode. Compared with the
commercial lensed fiber, the advantages of the tapered fiber include low cost and wide
flexibility. In our experiments [paper C], we use butt coupling methods to characterize
the plasmonic resonators in a wide spectral range (> 300 nm).
Figure 4.9. Schematic diagrams of fiber-waveguide butt coupling systems by (a) lensed fibers
(b) tapered fibers.
(4) Optical pump setup
An optical pump setup is built to characterize the photoluminescence from III-V
semiconductor materials, as schematically shown in Fig. 4.10. Such schemes have been
employed for characterization of optically pumped laser cavities [34-36]. Similar as the
butt coupling setup discussed above, light can be focused on the photonic devices by
bulk optics (lens or objectives) or fibers (lensed fibers or tapered fibers). A lensed fiber
based setup is shown in Fig. 4.10, where a 980 nm continuous-wave light source is
employed as the optical pump, and a 1×2 coarse wavelength division multiplexer
(CWDM) is used for splitting and combining of the pump and signal light.
Chapter 4. Fabrication and Characterization Techniques
36
Figure 4.10. Schematic diagram of an optical pump system. Note that the sizes are not in real
scale. Practically, the disk has compatible diameter with the fiber end.
4.4 Device demonstrations
Based on previously presented fabrication and characterization techniques, we have
demonstrated several plasmonic components including hybrid plasmonic directional
couplers, disks, rings and donuts. Relevant results will be discussed in the subsequent
chapter.
In this section, we present the experimental results of a tunable SOI microdisk
assisted by perfect absorbers and III-V cavities.
4.4.1 Tunable SOI microdisk assisted by perfect absorbers
The schematic diagram of the demonstrated perfect absorbers assisted SOI microdisk is
shown in Fig. 4.11. The inset picture shows the SEM image of the fabricated shallow
etch grating couplers for coupling light between a standard single mode fiber and a Si
waveguide.
Figure 4.11. Schematic diagrams of a perfect absorber assisted SOI microdisk along xz and xy
planes. Inset shows the shallow-etched grating couplers for fiber-waveguide coupling.
The processes to fabricate the device are as follows:
a) 1st Ebeam Litho. ZEP 520A is used to define the microdisk pattern.
b) 1st ICP-RIE etching. SOI sample with 250 nm top Si is etched through.
c) 2nd
Ebeam Litho. Diluted ZEP 520A (by 1:2 anisole) is used to define the
patterns of grating couplers.
d) 2nd
ICP-RIE etching. Shallow etch for the grating couplers.
e) 3rd
Ebeam Litho. ZEP 520A is used to define the window for the depositions of
perfect absorbers.
Chapter 4. Fabrication and Characterization Techniques
37
f) Ebeam evaporation of Au-Al2O3-Au layers (EBE).
g) Following the EBE, a liftoff process is performed to get the final device.
Fig. 4.12(a) show the SEM image of the fabricated microdisk with a perfect absorber
situated in the disk center. The disk radius is ~ 7.2 μm and the absorber radius is ~ 5 μm.
The tuning mechanism is based on the temperature shift resulted from the absorption of
pump light by the Au-Al2O3-Au absorbers. Since the absorber has a smaller diameter,
the fundamental whispering gallery mode (WGM) of the Si disk is not affected.
Compared with traditional electric-thermo tuning (with heaters placed on cladding
oxide layer), the scheme features a low-power switching and a fast switching speed. Fig.
4.12(b) shows the optical microscope image of the fabricated microdisk in real colors.
The absorber exhibits a purple color owing to its unique absorption in the visible
wavelength range. Fig. 4.12(c) is the optical microscope image of a microdisk with
only a simple gold layer in the center. In Papers I and J, detailed descriptions of the
static and dynamic tuning are discussed.
Figure 4.12. (a) SEM image of the fabricated microdisk assisted by a perfect absorber. Scale bar:
10 μm. (b) and (c) are optical images in true color, (b) the fabricated device and (c) a microdisk
with a gold layer in the center.
4.4.2 III-V cavities
At KTH Materials and Nano Physics department, a novel technology for integration of
III-V on silicon by epitaxial lateral overgrowth (ELOG) has been developed using
hydride vapor phase epitaxy (HVPE) [37-38]. Aiming to demonstrate a monolithic Si
laser, we have performed the design, fabrication and characterization of III-V
quantum-well (QW) cavities. We have mainly studied the types of cavities i.e. pedestal
microdisk cavity and photonic crystal cavity.
(1) Pedestal microdisk cavities
Figure 4.13(a) and (b) are the SEM images of the fabricated pedestal microdisks in the
1st round (sample#1) and 2
nd round (sample#2). To fabricate such devices, EBL is used
to define the pattern, and ICP helps to transfer the pattern to semiconductors. At last,
selective etching is applied to make the undercuts.
For the device measurements, sample#1 is measured by the home-made setup as
described in section 4.3.2. Pump source is a continuous wave 980 nm laser with an
average power up to ~ 90 mW. Fig. 4.13(c) shows the measured photoluminescence
spectra of the semiconductor microdisks ranging from 1200 nm to 1650 nm. We can see
(a) (b)
(c)
Chapter 4. Fabrication and Characterization Techniques
38
that there exist small amplitude ripples which originate from the cavity resonances. The
resonance amplitude increases with the increase of pump power. However, the devices
don’t show lasing-like resonances even at a pump power of 70 mW. We think it’s
mainly due to the thermal issues which are essential when applying continuous-wave
pumping. For sample#2, longer wet-etching time is employed to create sharper pedestal
geometry, as shown in Fig. 4.13(b). Sample#2 is measured by a photoluminescence
system (HR 800 HORIBA) in Lab of Semiconductor Materials at KTH [39-41]. We can
see from Fig. 4.13(d) that sharp resonances can be achieved from sample#2 when
operating at 77 K.
Figure 4.13. (a) SEM image of 1st round pedestal microdisk (sample#1). (b) SEM image of 2
nd
round pedestal microdisk with deeper undercuts (sample#2). (c) Room temperature
photoluminescence from sample#1 measured by the home-made setup. (d) PL spectrum from
sample#2 measured by a PL system at 77 K.
(2) Photonic crystal cavities
Figure 4.14 shows the SEM images of photonic crystal (PhC) hexagon and line cavities.
The fabrication processes are similar as for microdisks (with no wet etching though).
Ebeam doses for PhCs as shown in Fig. 4.14(a) and (b) (type I) are higher than for PhCs
as shown in Fig. 4.14(c) and (d) (type II). We can see that after etching, for type I PhCs,
InP/InGaAsP/InP nano holes and pillars are partially destroyed while type II PhCs
survive. We think this is mainly due to the proximity effect i.e. the actual dose received
by a single hole is larger than the input does, due to the influence of surrounding
exposed holes. The ICP etching has a very high aspect ratio (AR) ~ 10:1. A zoomed-in
SEM image of the PhC line cavity is shown in Fig. 4.15(a), and the photonic bands
calculated by Bloch’s theorem is shown in Fig. 4.15(b).
Chapter 4. Fabrication and Characterization Techniques
39
Figure 4.14. SEM images of the fabricated photonic crystal cavities. (a) Hexagon cavity with
normal Ebeam dose. (b) Line cavity with normal Ebeam dose. (c) Hexagon cavity with slightly
lower Ebeam dose. (b) Line cavity with slightly lower Ebeam dose.
Figure 4.15. (a) Zoom-in SEM images of the fabricated photonic crystal line cavity. (b)
Simulated photonic bands of the photonic crystals with hexagonal lattice.
References
[1] M. Kawachi, "Recent progress in silica-based planar lightwave circuits on silicon,"
IEE Proc. Optoelectronics 143, 257-262(1996).
[2] R. Adar, C. H. Henry, C. Dragone, R. C. Kistler, and M. A.
Mildbrodt, "Broad-band array multiplexers made with silica waveguides on
Chapter 4. Fabrication and Characterization Techniques
40
silicon", J. Lightw. Technol., vol. 11, no. 2, pp.212 -219 (1993).
[3] D. Liang, G. Roelkens, R. Baets, and J. Bowers, “Hybrid integrated platforms for
silicon photonics,” Materials 3(3), 1782–1802 (2010).
[4] F. Kish, et. al, “Current status of large-scale InP photonic integrated circuits,”
IEEE J. Sel. Top. Quantum Electron.17, 1470–1489 (2011).
[5] Y. Barbarin, X. j. M. Leijtens, E. a. j. M. Bente, C. M. Louzao, J. R. Kooiman, and
M. K. Smit, " Extremely small AWG demultiplexer fabricated on InP by using a
double-etch process," in Optical Amplifiers and Their Applications/Integrated
Photonics Research, Technical Digest (CD) (Optical Society of America, 2004),
paper IThG4.
[6] D. Liang and J. E. Bowers, “Photonic integration: Si or InP substrates?” Electron.
Lett.45(12), 578–581 (2009).
[7] J. S. Orcutt, B. Moss, C. Sun, J. Leu, M. Georgas, J. Shainline, E. Zgraggen, H. Li,
J. Sun, M. Weaver, S. Urošević, M. Popović, R.J. Ram, and V. Stojanović, "Open
foundry platform for high-performance electronic-photonic integration," Opt.
Express 20, 12222-12232 (2012)
[8] M. Hochberg and T. Baehr-Jones, “Towards fabless silicon photonics,” Nat.
Photonics 4(8), 492–494 (2010).
[9] L. Wosinski, L. Liu, M. Dainese, and D. Dai, “Amorphous silicon in nanophotonic
technology”, Proceedings of the 13th European Conference on Integrated Optics,
ECIO 2007, Copenhagen, Denmark, (2007).
[10] https://snf.stanford.edu/SNF/equipment/chemical-vapor-deposition/pecvd/sts-pec
vd-tool
[11] Z. Wang, “Investigation of new concepts and solutions for silicon nanophotonics,”
doctoral thesis, ISSN 1653-7610, KTH, Sweden, (2010).
[12] SPTS Technologies Ltd [formally Surface Technology Systems (STS)]
http://www.spts.com/ .
[13] M. Dainese, “Plasma Assisted Technology for Si-based Photonic Integrated
Circuits,” PhD thesis, ISRN 0348-4467, KTH, Sweden, (2005).
[14] https://www.raith.com/?xml=solutions%7CLithography+%26+nanoengineering
%7CRAITH150-TWO
[15] http://www.nanophys.kth.se/nanophys/facilities/nfl/manual/
[16] Raith 150 Software operation manual ver. 5.
[17] A. Holmberg. “Nanofabrication of zone plate optics for compact soft X-ray
microscope,” PhD thesis, ISSN 0280-361X, KTH, Sweden, (2006).
[18] R. J. Schul and S. J. Pearton, editors. Handbook of advanced Plasma Processing
Techniques. Springer, Berlin (2000).
[19] S. Naureen, “Top-down fabrication technologies for high quality III-V
nanostructures,” ISSN 1653-7610, PhD thesis, KTH, Sweden, (2013).
[20] D. Taillaert, P. Bienstman, and R. Baets, “Compact efficient broadband grating
coupler for silicon-on-insulator waveguides”, Opt. Lett., vol. 29, pp. 2749-2751
(2004).
[21] D. Taillaert, “Grating Couplers as Interface between Optical Fibres and
Nanophotonic Waveguides”, doctoral thesis, Ghent University, Belgium (2004).
Chapter 4. Fabrication and Characterization Techniques
41
[22] Y. Chen, “Fabrication and characterization of plasmonic nanophotonic absorbers
and waveguides,” ISSN 1653-7610, PhD thesis, KTH, Sweden, (2014).
[23] Q. Li, S. Wang, Y. Chen, M. Yan, L. Tong, and M. Qiu, “Experimental
demonstration of plasmon propagation, coupling, and splitting in silver nanowire
at 1550-nm wavelength,” IEEE J. Quantum Electron.17(4), 1107–1111 (2011).
[24] X. Guo, M. Qiu, J. M. Bao, B. J. Wiley, Q. Yang, X. N. Zhang, Y. G. Ma, K. H. Yu,
and L. M. Tong, “Direct Coupling of Plasmonic and Photonic Nanowires for
Hybrid Nanophotonic Components and Circuits,” Nano Lett. 9, 4515 (2009).
[25] Y. G. Ma, X. Y. Li, H. K. Yu, L. M. Tong, Y. Gu, and Q. H. Gong, "Direct
measurement of propagation losses in silver nanowires," Opt. Lett. 35, 1160-1162
(2010).
[26] W. Yang, Y. Ma, Y. Wang, C. Meng, X. Wu, Y. Ye, L. Dai, L. Tong, Xu Liu, and
Qing Yang, "Bending effects on lasing action of semiconductor nanowires," Opt.
Express 21, 2024-2031 (2013)
[27] L. M. Tong, R. R. Gattass, J. B. Ashcom, S. L. He, J. Y. Lou, M. Y. Shen, I.
Maxwell, and E. Mazur, Nature, vol. 426, no. 4960, pp. 816–819, Dec. 2003.
[28] P. Zhao, Z. Wu, K. Chen, X. Zhang, “Hybrid fabricating of silica micro/nanofibers,”
Front. Optoelectron. China, 4(3): 338–342 (2011).
[29] Zhuo Chen, Tobias Holmgaard, Sergey I. Bozhevolnyi, Alexey V. Krasavin,
Anatoly V. Zayats, Laurent Markey, and Alain Dereux, "Wavelength-selective
directional coupling with dielectric-loaded plasmonic waveguides," Opt. Lett. 34,
310-312 (2009).
[30] A. Krishnan, C. J. Regan, L. Grave de Peralta, and A. A. Bernussi, "Resonant
coupling in dielectric loaded plasmonic waveguides," Appl. Phys. Lett. 97, 231110
(2010).
[31] Z. Zhang, “Silicon-based photonic devices: design, fabrication and
characterization,” PhD thesis, ISSN: 1653-7610, KTH, Sweden, (2008).
[32] L. Yang, D. Dai, B. Yang, Z. Sheng, and S. He, "Characteristic analysis of tapered
lens fibers for light focusing and butt-coupling to a silicon rib waveguide," Appl.
Opt. 48, 672-678 (2009).
[33] H.-M. Yang, S.-Y. Huang, C.-W. Lee, T.-S. Lay, and W.-H. Cheng,
"High-Coupling Tapered Hyperbolic Fiber Microlens and Taper Asymmetry
Effect," J. Lightwave Technol. 22, 1395- (2004)
[34] S. Kim, J. Lee, and H. Jeon, "Over 1 hour continuous-wave operation of photonic
crystal lasers," Opt. Express 19, 1-6 (2011).
[35] C. Monat, et al. "Integrated optical auto-correlator based on third-harmonic
generation in a silicon photonic crystal waveguide." Nature communications 5
(2014).
[36] Y. Park, S. Kim, C. Moon, H. Jeon, and H. J. Kim, "Butt-end fiber coupling to a
surface-emitting Gamma-point photonic crystal band edge laser," Appl. Phys.
Lett. 90, 171115 (2007).
[37] Y. Sun, “Epitaxial Lateral Overgrowth of Indium Phosphide and Its Application in
Heteroepitaxy, ” Ph.D Thesis, ISSN: 1404-0379, KTH, Sweden, (2003).
[38] C. Junesand, “High-quality InP on Si and concepts for monolithic photonic
Chapter 4. Fabrication and Characterization Techniques
42
integration,” PhD thesis, ISSN: 1653-7610, KTH, Sweden, (2013).
[39] S. Naureen, N. Shahid, R. Sanatinia, and S. Anand, “Top-down fabrication of high
quality III-V nanostructures by monolayer controlled sculpting and simultaneous
passivation,” Adv. Funct. Mater. 23: 1620–1627, (2012).
[40] R. Sanatinia, K. M. Awan, S. Naureen, N. Anttu, E. Ebraert, and S. Anand, "GaAs
nanopillar arrays with suppressed broadband reflectance and high optical quality
for photovoltaic applications," Opt. Mater. Express 2, 1671-1679 (2012).
[41] S. Naureen, N. Shahid, A. Dev and S. Anand, "Generation of substrate-free III–V
nanodisks from user-defined multilayer nanopillar arrays for integration on Si,"
Nanotechnology 24 (22), 225301, (2013).
43
Chapter 5
Ultracompact Plasmonic Components
This chapter presents achieved results of hybrid plasmonic functional components and
a proposal of a tightly-confined plasmonic waveguide. We choose the hybrid
plasmonic waveguide (HPWG) as our main research topic for multiple reasons: it has
a relatively low-loss and a strong light confinement; its mode can be freely tuned
between photonic-like and plasmonic-like modes by waveguide design; it’s readily
CMOS compatible, etc. The outline of this chapter is as follows. Section 5.1 is the
fabrications and evaluations of ultracompact directional couplers based on the HPWG.
Section 5.2 proposes a novel design of an ultracompact polarization beam splitter
(PBSs) based on the HPWG. Section 5.3 shows the results of experimental
demonstrations and simulations of hybrid plasmonic (HP) resonators with sub-micron
radii, including disks, rings and donuts. Section 5.4 brings up a new design of electro
optic polymer modulator based on HP resonator. Section 5.5 proposes a novel
plasmonic waveguide layered metal dielectric waveguide and studies its application as
compact resonators.
5.1 Hybrid plasmonic directional couplers
Normally, directional couplers are composed of two identical waveguides which are
placed parallel with a finite separation [1]. When light is input into one waveguide,
there will be power exchange (coupling) between the adjacent waveguides. The amount
of power transfer depends on the waveguide geometry, the waveguide separation, and
the interaction length. Directional couplers are basic components in photonic integrated
circuits and they can be used in power splitting/combining, modulation, multiplexing,
and so on. In this section we present our results of experimental demonstrations of
hybrid plasmonic directional couplers [paper A].
Fig. 5.1 shows a schematic diagram of a hybrid plasmonic waveguide (HPWG) to
compose the directional couplers. The HPWG consists of sandwiching a low-index
slot between a metal layer and a dielectric layer. To fabricate the relevant components,
we use the techniques as discussed in Chapter 4. Briefly speaking, at first, EBE is
used for the gold evaporation and PECVD is for the depositions of hydrogenated
amorphous silicon (a-Si:H) and silicon dioxide. Then the patterns are generated by
EBL with negative resist Ma-N 2403. At last, the patterns are transferred to a-Si:H by
ICP-RIE. The film thicknesses are measured by ellipsometry and profilometer as hAu
~ 100 nm, hSiO2 ~ 60 nm and hSi ~ 400 nm, respectively. The sample’s surface
roughness is measured by AFM as ~ 8 nm, which is mainly introduced by the metal
Chapter 5. Ultracompact Plasmonic Components
44
deposition. In the experiments, series of EBL dose tests are carried out to eliminate
the proximity effect which is severe for a gold substrate. Compared with previous
demonstrated hybrid plasmonic waveguides [2-5], the advantages of such HPWG
geometry are two-fold. Firstly, we can design freely the thicknesses of low-index and
high-index materials using PECVD technology, and the hybrid mode can be tuned
between plasmonic-like mode and photonic-like mode. Secondly, the fabrication is
simple and the tolerance of overlay mismatch due to multiple litho is relaxed.
Figure 5.1. Schematic diagram of the fabricated hybrid plasmonic waveguide.
Fig. 5.2(a) shows the SEM image of a fabricated straight waveguide with a
waveguide width W0 ~ 170 nm, and Fig. 5.2(b) shows the top and cross-sectional
views of a fabricated directional coupler consisted of two waveguides separated by a
gap g ~ 140 nm and an interaction length L = 1.4 μm. The length of S-bend
waveguides in the directional couplers is 6.4 µm. The sidewall angle of the etched
waveguide is found as 88o.
Figure 5.2. SEM images of fabricated hybrid plasmonic devices. (a) Straight waveguide. (b)
Directional coupler. Inset picture is the cross-sectional view of the coupling region.
Using the FEM-based COMSOL Multiphysics (as discussed in section 3.2), the
optical modes supported by the fabricated HPWG can be studied. Since the
waveguide size is small, only hybrid plasmonic quasi-TM mode is supported by such
waveguide. The effective index is 1.97 + 000195i (loss ~ 0.0687 dB/µm). The electric
field distributions are shown in Fig. 5.3(a) and 5.3(b). In order to characterize the
propagation loss, direct measurement method (see section 4.3.2) is applied, i.e. light is
coupled evanescently from a tapered fiber tip (with a diameter ~ 1 μm) to the HP
waveguide, and the output light scattering from the end facets is monitored by an
infrared CCD camera. In the implementation, the fiber tip can move parallel along the
waveguide, and the propagation distance d (from the fiber tip to waveguide end) is
changed as a result. Fig. 5.3(c) shows the bright field image of the measurement. Fig.
5.3(d)-5.3(f) correspond to the dark field images when d ~ 7.62 µm , ~12.9 µm and
~18.5 µm, respectively. Fig. 5.3(g) shows the normalized output power as a function d.
Chapter 5. Ultracompact Plasmonic Components
45
From the linear fitting, the propagation loss can be found to be 0.081 dB/μm,
corresponding to a propagation length of 53.6 μm.
Figure 5.3. (a) Ey field distribution of the fundamental quasi-TM mode in the hybrid
plasmonic waveguide. (b) Ey field intensity along the Y axis. (c)-(f) are images of the
measurement of the propagation loss. (g) Measured normalized output power when the
propagation distance varies.
For the fabricated hybrid plasmonic directional couplers, we classify them by the
gaps (g ~ 140 nm, 185 nm, 235 nm, and 290 nm) into four groups (the waveguide
width is fixed at ~ 170 nm). In the group of directional couplers with g ~ 140 nm, the
fabricated devices include interaction lengths L (defined as the length of coupling
region) from 0, 0.2 μm, 0.4 μm… and 4.6 μm. In the rest three groups, L vary from 0,
0.4 μm, 0.8 μm … to 8.4 μm. To measure the splitting ratios of the directional
couplers, we use a CCD camera to monitor the two outputs simultaneously, as shown
in Fig. 5.4(a). The advantage is that the output power of the through and cross ports of
the directional couplers can be recorded in a single measurement; as a result, the
splitting ratios can be accurately measured. To achieve a high coupling efficiency, we
use a butt coupling method to couple light from the fiber tip to the HP waveguide. In
addition, 1 μm wide tapers as shown in Fig. 5.2(b) are applied to decrease the mode
size mismatch. Fig. 5.4(b)-5.4(d) show three typical cases of directional couplers with
g ~ 140 nm. When L are ~ 0, 0.8 µm and 1.4 µm, the splitting ratios are ~ 0 : 100, 50 :
50 and 100 : 0, respectively. Fig. 5.4(e) shows the measured normalized output power
at through port for couplers with different gaps, and the fitting curves are given by [6]
Pt(L)'=
Pt(L)
Pt(L)+Pc(L)=cos2(
π(L-L0)
2Lc), (5.1)
describing the theoretical output power derived by coupled mode theory; L is the
interaction length, and Lc is the coupling length (defined as the interaction length for
complete power transfer).
From the fitting, we can find that the Lc are ~ 1.55 µm, 2.2 µm, 3.2 µm and 4.8
µm for g ~ 140 nm, 185 nm, 235 nm and 290 nm, respectively. We also notice that
there are certain cases (e.g., g ~ 235 nm, L ~ 4.8 µm and g ~ 185 nm, L ~ 3.2 µm, etc.)
showing actual splitting ratios which do not match the fitting curves. We think it is
mainly due to the imperfect etching facets of these waveguides.
Chapter 5. Ultracompact Plasmonic Components
46
Figure 5.4. (a) - (d) are measurement images of directional couplers with a gap of 140 nm. In (b),
(c) and (d), the coupler’s interaction lengths are ~ 0 µm, 0.8 µm and 1.4 µm, respectively. (e)
Measured normalized output power at through port for couplers with different gap and
interaction length.
To compare the obtained coupling lengths with theory, we use COMSOL
Multiphysics to simulate the super modes supported by the coupling regions of the
directional couplers. Fig. 5.5(a) shows the corresponding field distributions of odd
and even modes; and the propagation constants can also be obtained by the
simulations, which are denoted by βo and βe, respectively. Based on coupled mode
theory, the theoretical coupling length can be calculated by Lc = π /(βe – βo) [7]. Fig.
5.5(b) shows the measured and simulated results of the coupling length as a function
of the coupler’s gap. One can see that there’s a nice fit between the two, confirming
good accuracy of the measurements.
Figure 5.5. (a) Even and odd modes supported by the directional coupler. (b) Simulated and
measured coupling lengths as a function of the coupler’s gap.
5.2 Polarization beam splitters based on three-core directional
couplers
In high speed optical communication systems, polarization division multiplexing
(PDM) is a versatile technique to enhance the transmission capacity by transmitting
signals with both orthogonal polarizations [8]. However, current standard silicon on
insulator (SOI) wafers for fabricating photonic integrated circuits (PICs) are normally
Chapter 5. Ultracompact Plasmonic Components
47
with 250 nm or 220 nm thick top silicon layers, while the waveguide widths are
ranging from 400 nm to 500 nm, resulting in high birefringence [9] and polarization
dependent transmission properties [10] of the photonic components. Hence, in order
to exploit PDM technique in PICs, realizing a polarization independent circuit is
essential. One possible solution is by applying a polarization diversity scheme [11-12],
where on-chip light is firstly split into two beams with orthogonal polarizations, and
then the beams are processed separately by properly designed photonic components;
at last, two polarizations are combined at the circuit output. To demonstrate such
systems, ultracompact polarization beam splitters (PBSs) based on silicon platforms
are essential.
In this section we present a novel design of an ultra-compact and low-loss PBS based on a
dielectric - hybrid plasmonic - dielectric coupler [paper B], as schematically shown in Fig.
5.6. We assume the device is fabricated with a standard SOI wafer (250 nm thick Si), and the
width of the Si waveguides (SWGs) has a typical value of 450 nm. Differently from a
two-core SOI directional coupler, here we introduce a 200 nm wide hybrid plasmonic
waveguide (HPWG) in between to form a three-core coupler. The thickness of gold in the
HPWG is hAu = 100 nm. The SiO2 slot thickness is denoted by hd, while the coupler’s gap and
interaction length are denoted by g and Lc, respectively. Note that in our analysis, wp = 200
nm is taken as an example to prove the principle. Such dimension can also be readily
achieved using standard CMOS technology.
Figure 5.6. (a) Schematic configuration of the proposed polarization beam splitter. (b) and (c)
are Ex field distributions of x-polarized even and odd modes, respectively. (d), (e) and (f) are
Ey field distributions of 1st order symmetric, 2
nd order symmetric and anti-symmetric
supermodes.
The basic mechanism of the PBS originates from the HPWG’s high birefringence, i.e.
only quasi-TM polarized light can be guided by the HPWG. As a result, when the input light
from port #1 (as shown in Fig. 5.6) is x-polarized light, the PBS acts as an ordinary two-core
coupler with a gap of wp + 2g; however, when the input light is y-polarized, the PBS behaves
like a three-core coupler with a separation of g. As a result, the coupling length for
y-polarization is expected to be much shorter than that for x-polarization. We use the FEM
COMSOL Multiphysics to study the supermodes to confirm our assumption. Fig. 5.6(b)-(f)
show the field profiles of five supermodes guided in the coupling region when g = 100 nm
and hd = 80 nm. We can see that for TE-polarization however, there are only two supermodes
which can be supported by the coupler: even and odd modes (named as TE1 and TE2). As
discussed in Section 5.1, the coupling length for the TE polarization can be evaluated by [7]
Chapter 5. Ultracompact Plasmonic Components
48
0 0
1 2
22
TE
TETE TE
Lnn n
. (5.2)
On the contrary, for TM-polarization, there are in total three supermodes: two symmetric
(named as TM1 and TM2) and one anti-symmetric (named as TM3), as shown in Fig.
5.6(b)-(d). For such three-core coupler, to fully couple optical powers between adjacent
waveguides, the following phase matching condition needs to be satisfied [13],
1 2 32TM TM TMn n n , (5.3)
Here, we meet the condition by choosing proper silicon dioxide thickness. Fig. 5.7(a) plots
the effective indices of all five supermodes as functions of hd when g = 100 nm. We can see
that the effective indices of TM3, TE1 and TE2 modes remain constant when varying hd ; this
is because there are negligible light confined in HPWG for these modes as shown in Fig.
5.6(b), (c) and (f). We can also see that Eq. 5.3 can be fulfilled when hd ~ 78 nm, and in this
case, based on coupled mode theory, the coupling length of the three-core coupler is given as
[13-14]
0 0
1 32 2
TM
TMTM TM
Lnn n
. (5.4)
As seen from Fig. 5.7(a), , suggesting that the coupling length for TE
polarization is much larger than that for TM polarization. Fig. 5.7(b) shows the change of
suitable hd as the variation of the coupler’s gap, and Fig. 5.7(c) plots the corresponding
coupling lengths for TM polarization LTM and the ratios between the coupling lengths of TE
and TM polarizations LTE/LTM as functions of g. We can see that for g ranging from 75 nm to
175 nm, LTE/LTM is generally larger than 60, suggesting that the coupler is highly polarization
dependent. In our analysis, we choose a gap of g = 100 nm as a tradeoff between the device
performance and fabrication requirement, and in this case, LTM = 3.5 μm and LTE/LTM =116.6.
Figure 5.7. (a) Effective indices of the coupler’s five supermodes as functions of silicon dioxide
thickness. (b) Suitable silicon dioxide thickness to satisfy Eq. 5.3 when varying the coupler’s
gap g. (c) Coupling lengths for TM polarization and ratio between coupling lengths for TE and
TM polarizations when varying g.
Next, we use a 3D-FDTD to verify the characteristics of the designed device. Fig. 5.8(a)
and (b) show the field profiles when the input lights (at Port #1) are TE polarized and TM
polarized, respectively. The light source is a continuous wave with a wavelength of 1550 nm.
Chapter 5. Ultracompact Plasmonic Components
49
Fig. 5.8(c) and (d) plot the spectral responses of the proposed device, and Fig. 5.8(e) and (f)
analyze the spectral responses given a fabrication error Δh, of silicon dioxide thickness hd.
We can see that for both cases, insertion losses (ILs) are simulated as < 1.5 dB, and the
extinction ratios (ERs) are > 12 dB in the whole C-band, suggesting effectiveness of the
proposed device. A more detailed description of the device performances can be found in
[paper B].
Figure 5.8. (a) and (b) are continuous wave responses of the designed PBS when input light is
TE polarized and TM polarized, respectively. (c) and (d) are spectral responses at output ports
#2 and #3 for TE and TM inputs, respectively; silicon dioxide thickness is hd = 78 nm in both
cases. (e) and (f) are spectral responses at ports #2 and #3 for TE and TM inputs given a
fabrication error Δh of the silicon dioxide thickness.
It’s worth noticing that the device concept was also later verified experimentally by
another group in Singapore [15].
5.3 Hybrid plasmonic resonators
5.3.1 Ultrasharp 90o bends
In a practical photonic circuit, bending waveguides are basic building blocks. As
discussed in previous paragraphs, one of plasmonics’ most desirable properties is the
compact light confinement. Hence, one can expect that ultrasharp bends based on
plasmonics can have smaller radiation loss than the traditional dielectric bends. In order
to accurately investigate the losses of ultrasharp bends with radii < 2 μm, we use
3D-FDTD methods rather than FEM and FDM mode solvers which may over-estimate
the losses [16]. The properties of sub-micron ring resonators based on hybrid plasmonic
waveguide (HPWG) and Si waveguide (SiWG) are also compared [paper F].
Fig. 5.9(a) and (b) show the geometries of the studied SiWG and HPWG. The Si
core in both cases is embedded in SiO2 buffer and cladding, and the dimension is
300×300 nm2. Such SiWG can enable similar confinement for TE and TM modes,
ultra-sharp 90o bends have been studied in Ref. [17]. The gold layer thickness of
HPWG is HAu=100 nm, and the slot thickness HS is 30 nm or 60 nm. Fig. 5.9(c) and (d)
show the field distributions of the quasi-TM modes supported by SiWG and HPWG (HS
Chapter 5. Ultracompact Plasmonic Components
50
= 30nm), respectively. The effective index is 2.04 with an effective mode area Aeff ~
0.066 μm2 for SiWG, while 2.48 with Aeff ~ 0.023 μm
2 for HPWG, suggesting that
HPWG has a much more compact confinement than SiWG. Fig. 5.9(e) and (f) show the
schematics of 90o bends based on these two waveguides. The simulated field profiles of
the 90o bends with radii of 0.5 μm are shown in Fig. 5.9(g) and (h). One can see that
much less power irradiates out of the HPWG bend than Si bend, owing to the more
compact confinement.
Figure 5.9. (a) and (b) are cross-sectional views of a SOI waveguide (SiWG) and a hybrid
plasmonic waveguide (HPWG). (c) and (d) are the corresponding mode distributions. (e) and (f)
are the geometry of 90o bend based on SiWG and HPWG. (g) and (h) are the corresponding
field distributions.
Bending losses as functions of radii are also quantitatively studied for SiWG and
HPWG as shown in Fig. 5.10(a). One can see that for bends with sub-micron radii,
using HPWG can help to decrease the bending losses. Moreover, HPWG with a thinner
slot (Hs = 30 nm) has a lower loss than HPWG with a thicker slot (Hs = 60 nm). The
reason is that with thinner slot, hybrid mode behaves more like plasmonic mode hence
has a more compact confinement. To further analyze the influence of slot thickness Hs,
bending losses of HPWG 90o bends with R0 = 0.5 μm and R0 =1.0 μm as functions of Hs
are studied, as shown in Fig. 5.10(b). Optimal slot thicknesses exist for minimal
bending losses, due to the competition of radiation loss and absorption loss, i.e. a
thinner slot thickness enables more compact confinement hence lower radiation loss,
but at a cost of larger metal absorption loss. For R0 = 0.5 μm and R0 =1.0 μm, the
optimal thicknesses are ~ 25 nm and 45 nm, respectively. Properties of Si microring
(SiMR) and hybrid plasmonic microring (HPMR) are also investigated. Fig. 5.10(c)-(f)
show the field distributions of resonant modes of SiMR and HPMR (HS = 25 nm),
respectively. The intrinsic Q factor, mode volume V and Purcell factor F are shown in
Fig. 5.10(g) and (h). Here, the cavity’s effective mode volume V is evaluated by [18-19]
Veff =∫ 𝜀(𝑥,𝑦,𝑧)|E(x,y,z)|2𝑑𝑥𝑑𝑦𝑑𝑧
max (𝜀(𝑥,𝑦,𝑧)|E(x,y,z)|2) , (5.5)
where ε(x,y,z) is the cavity permittivity profile and E(x,y,z) is the mode electric field
distribution. Purcell factor as a figure of merit to describe the enhancement of
spontaneous emission is expressed as [18-19]
Chapter 5. Ultracompact Plasmonic Components
51
F = 3
4π2
Q
Veff(
λ0
n)3. (5.6)
We can also normalize Veff with the effective wavelength and obtain a dimensionless
normalized mode volume given by
V = Veff/(λ0/(2n))3. (5.7)
On the other hand, considering that the losses of a plasmonic cavity originate from two
sources i.e. radiation loss due to sharp bends and absorption loss due to metal
absorptions, intrinsic Q-factors Q are given by [20]
1/Q= 1/Qrad+1/Qabs, (5.8)
where Qrad and Qabs are radiation-related and absorption-related Q-factors, respectively.
Qabs increases exponentially with the bending radius due to decreased radiation loss,
while Qabs is mainly dependent on the waveguide geometry, hence it is insensitive to the
radius variation. Eq. 5.8 explains the tendency of Q as shown in Fig. 5.10(g). For SiMR,
Q increases exponentially as radius. For HPMR, when the bending radius is relatively
small, Qrad is the dominant contributor to Q, hence Q increases exponentially with the
radius. When the radius is relatively larger, Q is mainly determined by Qrad, and it is not
sensitive to radius variation any longer. One can also see from Fig. 5.10(g) that the
intrinsic Q factor of HPMR is much larger than that of SiMR when R0 < 1.5 µm, while
the mode volume is much smaller. From Fig. 5.10(h), one can observe that the Purcell
factor for HPMR has a maxima of ~ 300 at R0=1.055 µm, while SiMR’s maximal F is
only around 26 at R0 ~ 1.055 µm, suggesting that the light-matter interaction can be
greatly enhanced by a hybrid plasmonic cavity.
Figure 5.10. (a) Losses of 90 degree bends as functions of bending radis for SiWG as well as
HPWG with HS = 30 nm and HS = 60 nm. (b) Losses of HPWG bend as functions of slot
thickness for R0 = 0.5 μm and R0 =1.0 μm. (c)-(f) Field distributions of resonant modes in SiMR
and HPMR. (g) and (h) are the Q-factor, mode volume and Purcell factor as functions of ring
radii for SiMR and HPMR.
Chapter 5. Ultracompact Plasmonic Components
52
5.3.2 Hybrid plasmonic disk resonators
In this section, we discuss the experimental results of the waveguide-loaded hybrid
plasmonic disk resonators as schematically shown in Fig. 5.11(a) [paper C]. We use
similar fabrication processes as described in section 5.1 to demonstrate these devices.
Figs. 5.11(b)-(c) show the SEM images of the demonstrated disks with radii of R ~
0.525 μm and 1.05 μm, respectively. The waveguide-disk gaps in the fabricated devices
are ~ 60 nm, 100 nm and 150 nm.
Figure 5.11. (a) Sketch of a hybrid plasmonic disk resonator. (b) Top-view and tilted view SEM
images of a disk with R0 ~ 0.5 μm. (c) Top-view and tilted view SEM images of a disk with R0 ~
1 μm.
Using 3D-FDTD, the resonant modes supported by the disk cavity are firstly
analyzed. We find that along the radial direction, two types of quasi-TM modes, i.e. a
fundamental quasi-TM mode (TM1) and a higher order quasi-TM mode (TM2) are
supported. Fig. 5.12(a)-(d) show the field distributions of the respective resonant
modes along xz and yz planes. Note that in the simulations, the resonant wavelengths
are set as 1550 nm by selecting suitable bending radii. For TM1 resonance, the
azimuthal number is m = 4 and the corresponding bending radius is R1=501 nm; for
TM2 resonance, m = 6 and the bending radius is R2 = 968 nm.
Figure 5.12. Simulation results of the hybrid plasmonic microdisks. (a) and (b) are the field
profiles of TM1 mode when disk radius is R1 = 501 nm; the azimuthal number is m = 4. (c) and
(d) are field profiles of TM2 mode when R2 = 968 nm and m = 6. (e) and (f) are cavity’s Q-factor,
normalized mode volume and Purcell factor as functions of bending radii.
Furthermore, intrinsic quality factors Q, normalized mode volume V and Purcell
factor F of the cavity modes can be found by combining the FDTD simulation results
with Eq. 5.5-5.7. Figs. 5.12(e)-(f) show the relevant results for TM1 modes with
azimuthal number m from 3, 4 … to 11. TM2 mode’s Q-factor is also shown in Fig.
5.12(e) for a comparison. The smallest mode volume is 𝑉 = 0.31(𝜆0/(2 ))3 when
the radius is 399 nm and the azimuthal number is m = 3. The maximal Purcell factor is
Chapter 5. Ultracompact Plasmonic Components
53
F = 1357 when R ~ 885 nm and m = 8.
Next, the waveguide-loaded hybrid plasmonic disks are analyzed by 3D-FDTD. Fig.
5.13(a) and (b) show the transmission spectra of the disks with radii of 525 nm and
1050 nm, respectively. The waveguide-disk gap is denoted by g. The field distributions
under continuous-wave inputs with different wavelengths are shown as insets in Fig.
5.13(a) and (b). We can find that in the 0.5 μm-radius disk, there exist two fundamental
TM-polarized (TM1) resonances at 1415 nm and 1633 nm, corresponding to the
azimuthal numbers of 5 and 4, respectively. In the spectra of the disks with radii of 1050
nm, as shown in Fig. 5.13(b), the resonant wavelengths of 11th
, 10th
and 9th
order TM1
mode are 1438 nm, 1538 nm and 1653 nm, respectively; while the resonance at 1428
nm is 8th
order TM2 resonance (higher order mode) which is undesired for single mode
operation.
To measure the fabricated devices as shown in Fig. 5.11, we use butt coupling
between tapered waveguides and fiber tips. Super-continuum source is used to test the
wide band (>300 nm) spectral responses. Fig. 5.13(c) and (d) are the measured spectra
for disks with radii of ~ 0.5 μm and 1 μm, respectively. We see that they fit reasonably
well with the simulation results. The measured extinction ratio (ER) for the disk with a
0.5 μm radius and a gap of 60 nm is 12.8 dB at m = 4 resonant wavelength. The free
spectral range (FSR) is as large as ~ 210 nm. The ER for 1 μm radius disk at a 10th
order
resonance is 8.3 dB, and the FSR is ~ 95 nm. To find out the cavity quality factors, we
fit the resonances with Lorentz curves based on coupled mode theory [21-22]:
0
0
1 2 1 2
1 2 1 2
j( Δω / ω ) / Q / Qt
j( Δω / ω ) / Q / Q
i w
i w
, (5.9)
where t is the amplitude of transmitted field, Qi is the intrinsic Q-factor of the HP cavity,
Qw is the waveguide-coupling Q-factor, ω0 is the resonant frequency, and Δω is the
frequency detuning. The fitting results are shown by the insets in Figs. 5.13(c) and (d).
From the fittings, we deduce that the disk with a radius of 0.5 μm has an intrinsic
quality factor Qi of 90 ± 30 at around 1625 nm (4th
order resonance), and the disk with
1 μm radius has a Qi of 165 ± 40. It’s worth mentioning that for waveguide loaded-disk
with ultrasharp radius, there’s a significant phase mismatch in the coupling region,
which will result in extra coupling loss to the cavity. In addition, due to imperfect
fabrications, the demonstrated waveguides have a rough and tilted sidewall (~ 880
as
discussed above). All above reasons will contribute to the extra cavity loss, hence
deteriorating the cavity’s intrinsic Q-factor. Based on FDTD simulations, the mode
volume in the fabricated cavities can be calculated, for the disks with 0.5 μm and 1 μm
radii, normalized volume is 0.43 and 1.15, corresponding to a Purcell factor of
127 ± 42 and 87 ± 21, respectively,
Chapter 5. Ultracompact Plasmonic Components
54
Figure 5.13. (a) and (b) are the simulation results of the disk resonators’ spectra when R = 0.525
μm and R = 1.05 μm. The waveguide-disk gap is denoted by g. Inset pictures are the simulated
field profiles when inputting continuous wave into the disk resonators. (c) and (d) are the
measurement results of the fabricated devices’ spectra. Inset pictures are the Lorentz fittings
based on coupled mode theory. (e) Thermo tuning of the 4th order resonance of a 0.525
μm-radius disk. (f) Measured resonance variations with the change of temperature. Linear fit is
obtained by 3D-FDTD when assuming the TO coefficient of a-Si:H is ~ 2.45×10-4
/K.
We also tested the thermal tuning of the disk with a radius of 0.5 μm. The results are
shown in Fig. 5.13(e) and (f). Temperature increase of 60°C results in a resonance shift
of 5.8 nm. Because SiO2 has a relatively small thermo-optic (TO) coefficient, we think
the resonance shift is mainly due to the TO effect of a-Si:H. By 3D-FDTD simulations
and curve fittings, we deduce that the TO coefficient of the deposited a-Si:H is ~
2.45×10-4
/K.
5.3.3 Hybrid plasmonic ring and donut resonators
In this section, we present our experimental results of hybrid plasmonic ring and
donut resonators. We use similar fabrication and characterization methods as described
in previous section for the demonstrations. Fig. 5.14(a) and 5.14(d) illustrate the
configuration of the hybrid plasmonic rings and donuts, and the SEM images of the
fabricated devices are shown in Fig. 5.14(b)-(c) and 5.14(e)-(f). For ring resonators, the
waveguide width is w1 ~ 170 nm; while for donut resonators, the waveguide width is w2
Chapter 5. Ultracompact Plasmonic Components
55
~ 320 nm. In all cases, the access waveguide has a width of ~ 170 nm. The radii are R0
~ 0.52 μm and 1.04 μm. The gaps between the access waveguide and the resonators are
~ 50 nm, 100 nm and 150 nm.
Figure 5.14. (a) Sketch of a hybrid plasmonic ring resonator. SEM images of the rings with R0 ~
0.52 μm (b) and R0 ~ 1.04 μm (c). (d) Sketch of a hybrid plasmonic donut resonator. SEM
images of the donuts with R0 ~ 0.52 μm (e) and R0 ~ 1.04 μm (f).
Using 3D-FDTD, the Q-factors of hybrid plasmonic rings, donuts and disks are
calculated, as shown in Fig. 5.15. One can see that the Q-factors of the donuts (with a
width of 320 nm) are almost identical with the Q-factors of the disks, while the rings
(with a width of 170 nm) have significantly smaller Q.
.
Figure 5.15. 3D-FDTD simulation results of the intrinsic quality factors of the disks
(fundamental TM mode TM1 and higher order TM mode TM2), the donuts and the rings. Here,
m is the azimuthal number of the resonance.
This can be explained by looking at the mode profiles supported by these cavities, as
shown in Fig. 5.16. In all cases, the bending radii are around 800 nm and resonant
wavelengths are 1550 nm. The azimuthal numbers m of disk, donut and ring resonator
are 7, 7 and 6, respectively. One can see from Fig. 5.16(b) that for rings with w1 ~ 170
Chapter 5. Ultracompact Plasmonic Components
56
nm, optical modes irradiate out of the waveguide, resulting in a relatively larger
radiation loss; while for donuts with w2 ~ 320 nm, the waveguide is wide enough so that
the resonant mode is hardly influenced by the inner perimeter of the ring. As a result,
the mode has a similar profile as shown in Fig. 5.16(c) as the whispering gallery mode
in the disk resonator as shown in Fig. 5.16(a). Considering that the disk has a higher
order mode TM2 as discussed in previous section, we think a donut ring is an optimal
geometry as a tradeoff between Q-factor and single mode operation.
Figure 5.16. Simulated electrical field profiles of the resonant mode. (a) Hybrid plasmonic disk
with a radius of 791 nm and an azimuthal numbers m = 7. (b) Hybrid plasmonic ring.
Waveguide width w1 = 170 nm, R1= 795 nm and m = 6. (c) Hybrid plasmonic donut. w2 = 320
nm, R2= 811 nm and m = 7.
Using the butt coupling method, the transmission spectra of the fabricated rings and
donuts as shown in Fig. 5.14 can be characterized. Figure 5.17 shows the measured
spectra of the hybrid plasmonic rings and donuts with radii of ~ 0.52 μm and 1.04 μm
and gaps of 50 nm, 100 nm and 150 nm. The free-spectral range of the 0.5 μm
resonators are ~ 200 nm. Extinction ratios of the resonances around 1650 nm are ~ 10
dB. From curve fittings based on Eq. 5.9, intrinsic Q-factors Q of ~ 0.5 μm rings are
estimated as 75 ± 20 at ~ 1670 nm; Q of ~ 0.5 μm donuts at ~ 1530 nm are 100 ± 20.
Figure 5.17. Measured spectra. (a) and (b) are spectra of hybrid plasmonic rings with radii of ~
0.52 μm and 1.04 μm, respectively. (c) and (d) are spectra of hybrid plasmonic donuts radii of ~
0.52 μm and 1.04 μm, respectively.
Chapter 5. Ultracompact Plasmonic Components
57
5.4 Electro-optic polymer modulators based on HP ring
resonators
In this section, we propose and evaluate the concept of an ultracompact electro-optic
(EO) polymer modulator based on hybrid plasmonic microring resonators (with
submicron radii) [paper D]. We firstly review the state-of-the-art integrated optical
modulators, and then the proposed device is systematically evaluated. Discussion of
fabrication feasibility is carried out in the last paragraph.
5.4.1 Integrated optical modulators: an overview
Optical integrated modulators are fundamental building blocks of photonic integrated
circuits. Enabling materials for light modulation include quantum wells (based on
quantum confined Start effect) [23], graphene (based on tunable Fermi level by
electrical gating) [24], silicon (based on plasma dispersion effect) [25-26] and
electro-optic (EO) materials (based on pockels effect) [27-29]. The main drawback of
III-V quantum well modulators is that monolithic integration of III-V and Si is
challenging, while graphene modulators are only for amplitude modulations. As for Si
modulators, due to a very weak plasma effect of Si, the change of Si refractive index is
normally insignificant by modulating the carrier concentration [30], and extra material
loss is normally accompanied. Hence, silicon modulators have limited performances (in
terms of modulation speed, modulation depth, insertion loss, etc.), and the devices
normally require demanding power consumption [31]. On the other hand, electro-optic
polymers (EOPs) show great promises; recently developed EO coefficient r33 can
approach 500 pm/V while keeping negligible optical losses [32] (as a comparison: r33
of LiNbO3 is ~ 30 pm/V [33]). In addition, EO polymer has an ultra-fast phase
relaxation and in principle, modulation speed of THz is possible [34]. Demonstration of
110 Gb/s EOP modulators has been reported [35], using polymer itself as a waveguide
core. There are also intense investigations to incorporate EO polymers into compact
photonic circuits based on e.g. SOI [36-37] or plasmonics [38-39]. By confining light in
the low-index slot, hybrid plasmonic waveguide (HPWG) can also be exploited for
light modulations. Fig. 5.18 compares mode profiles and resistance-capacitance (RC)
circuits of three typical types of waveguides suitable for polymer modulators, i.e. an
Au-polymer-Si hybrid plasmonic waveguide, a silicon-polymer-silicon (Si slot)
waveguide [37] and a metal-polymer-metal (MPM) plasmonic waveguide [39]. We see
that in all cases light is highly localized in the polymer layer. Si slot waveguide has
lowest insertion loss but the RC time constant is largest, which fundamentally limits the
maximal modulation speed. MPM waveguide as shown in Fig. 5.18(c) has the ideal RC
response and maximal optical confinement in polymer, while it suffers from
intrinsically large metal absorption losses, and the coupling between MPM nad
remaining Si circuits is challenging. On the other hand, hybrid plasmonics provides a
tradeoff between these two schemes, hence it is of particular interest.
Chapter 5. Ultracompact Plasmonic Components
58
Figure 5.18. Electrical field distributions. (a) Optical mode profile of an Au-Polymer-Si hybrid
plasmonic waveguide. (b) Optical mode profile of a Si-polymer-Si slot waveguide. Reprinted
from [37] with permissions. (c) Mode profile of the RF signal in an Au-Polymer-Au plasmonic
waveguide. Reprinted from [39] with permissions. In all figures, equivalent
resistance-capacitance (RC) circuits are also shown.
As for the geometries for light modulations, Mach-Zehnder interferometers (MZI)
[40] and microring/disk resonators [41] are frequently used, among which cavity-based
modulators are desirable in order to decrease the modulators’ footprint, hence further
enhance the modulation performance (e.g. decreasing power consumption by
improving RC circuit). In contrary to high-Q cavities, moderate-Q cavities are more
desirable for high-speed modulations due to two reasons. Firstly, moderate-Q cavity
modulators have higher bandwidth limitations due to shorter cavity photon lifetime
[42]. As an example, a cavity with Q larger than 2000 has a limited modulation speed,
lower than 100 GHz. Secondly, moderate-Q cavities relax the tolerance to resonance
shifts due to temperature and fabrication variations, and the extra feedback models for
resonance stabilization can be eliminated [43-44]. Given above considerations, we
propose an EO polymer modulator based on hybrid plasmonic microrings, which has an
optimized RC circuit (compared with Si slot waveguide), a small insertion loss
(compared with MPM) and a moderate Q-factor. The proposed structures may find
applications in high speed modulations.
5.4.2 Performance evaluations
Figure 5.19. Sketch of an electro-optic polymer modulator based on a hybrid plasmonic
microring resonator with a dielectric access waveguide.
Chapter 5. Ultracompact Plasmonic Components
59
A sketch of the proposed HP ring modulator is shown in Fig. 5.19. The device is based
on standard SOI platform, light is guided in Si waveguide for low-loss propagation,
while modulation is realized by the Au-polymer-Si hybrid plasmonic microring. As
shown in Fig. 5.19, microwave signal is applied to the EO polymer to change the
refractive index, and correspondingly the cavity’s resonance can be shifted. As a result,
the transmission power of a continuous wave at a fixed wavelength λ0 can be modulated.
As discussed in section 5.3.2, based on coupled mode theory, the transmission of the
microring can be analyzed by Eq. 5.9. To pursue a maximized extinction ratios (ERs), a
critical coupling condition i.e. Qi = Qw is employed so that the transmission power of
resonant wavelength is zero. In practical device design, such condition can be achieved
by properly selecting waveguide-ring gap, access waveguide width, etc. Then the 3dB
bandwidth of the resonance can be written as Δλ3dB = λ0/ Qload = 2λ0/Qi, considering
1/Qload = 1/Qi + 1/Qw. Since the modulation power is quantified by the energy to switch
the resonance by a distance of 3dB bandwidth, we can define a figure of merit (FOM) to
quantize the modulation efficiency of switching the cavity in and out of resonance by
changing the EOP index, which can be given by
Res i
3dB EOP 0
Δλ QFOM = Γ
Δλ Δn 2λ
1 . (5.10)
Here, ΔλRes represents the resonance shift due to the polymer’s index change ΔnEOP, and
Γ is defined as the tuning efficiency written as
Res EOP/ = Δλ Δn , (5.11)
which can be re-written as effRes 0
EOP eff EOP
ΔnΔλ λΓ= =
Δn n Δn, and Γ is proportional to the ratio
of light confined in the EO polymer slot. From Eq. 5.11, one can see that to obtain a
high FOM (a low driving power), it’s essential to obtain a high intrinsic quality factor
Qi and more light confinement (hence Γ) in the EOP slot.
Using 3D-FDTD, Qi and Γ can be calculated using a stand-alone microring as shown
in Fig. 5.20(a). We firstly study a hybrid plasmonic waveguide with geometries of W =
300 nm, HSi = 400 nm and HEOP = 30 nm. The thickness of metal cap is fixed at HAg = 100
nm in all analyses. Fig. 5.20(b)-(c) show the field distributions of a 542 nm-radius
microring with a resonant wavelength of 1550 nm and an azimuthal number of m = 6.
One can see the light is highly localized in the EO polymer slot layer, and the resonant
mode of the submicron ring experiences little light radiation. To compare the
performance of the hybrid plasmonic (HP) microring and a Si slot microring, we plot
the intrinsic quality factors of both cavities as functions of the bending radius in Fig.
5.20(d). The Si slot microring used for comparison has the same waveguide width of
300 nm and Si height of 400 nm, while the 30 nm EO polymer layer is sandwiched in
the waveguide center. One can see from Fig. 5.20(d) that the HP microring has a
much higher intrinsic Q-factor (QHP) than the Si slot microring (QSlot), due to a more
compact light confinement. We also plot the ratio between QHP and QSlot in Fig.
5.20(d), one can see that the enhancement of Q-factor can be as large as 8.5 by hybrid
Chapter 5. Ultracompact Plasmonic Components
60
plasmonics. Next, resonance shifts with the changes of the refractive index of EO
polymer are analyzed, as shown in Fig. 5.20(e). From the slopes, the tuning
efficiencies can be determined as SlotΓ ~ 182 nm and HPΓ ~ 134 nm, respectively.
Based on Eq. 5.10, FOM of both cavities can also be calculated. The ratio between
FOMHP and FOMSlot is shown in Fig. 5.20(f). One can see that when the ring radius is
smaller than ~ 1 μm, HP microring has a larger FOM than Si slot microring,
suggesting that lower driving voltage is needed in a plasmonic modulator in
comparison to a Si slot modulator. The maximum FOM enhancement is about 6 when
the radius is around 510 nm.
Fig. 5.20. (a) Sketch of a stand-alone hybrid plasmonic microring. (b) and (c) are Ez field
profiles along xy and xz planes of a resonant mode at 1550 nm. (d) Intrinsic Q-factors of a Si
slot microring and a hybrid plasmonic (HP) microring and their ratio. (e) Resonance shifts
with polymer index changes for Si slot microring and HP microring. (f) The ratio of figure of
merits (FOM) between Si slot microring and HP microring.
We also perform systematic analysis of the influence of waveguide geometries on
the FOM of the HP microring. We find that when the thickness of electro-optic
polymer increases from 30 nm to 100 nm, FOM drops by about 40%, but we also
need to note that a higher effective EO coefficient can be achieved when the slot
thickness is larger. On the other hand, when the silicon height increases (or decreases)
from optimal thickness of 100 nm, FOM decreases by about 15%. Detailed
description can be found in [paper D].
In this paragraph, we evaluate the overall performance of the HP microring
modulator, assuming the device operates at 1549 nm and the ring radius is 542 nm
with an azimuthal number of 6. Using the results as studied in Fig. 5.20(b)-(c) and
combining them with Eq. 5.9, we can simulate the transmission spectra of the cavity
when the refractive index of the electro-optic polymer is changed from 1.65 to 1.625,
as shown in Fig. 5.21. One can see that an index change of 0.025 shifts the resonance
by ΔλRes = 3.4 nm, which is larger than the 3dB bandwidth Δλ3dB = λ0/Qload = 2.9 nm.
When the continuous wave input is at 1549 nm, the transmission is modulated from 0
Chapter 5. Ultracompact Plasmonic Components
61
to 0.85, corresponding to an insertion loss (IL) of 0.7dB, and an optical modulation
amplitude (OMA) of 0.85. As a matter of fact, 0.021 index change can enable a
resonance shift of 3dB bandwidth, the corresponding IL and OMA are 0.97 dB and
0.8, respectively. Assuming that the EO polymer has an EO coefficient of 80 pm/V,
according to 3
33= /(2 )EOPn n r V H , the driving voltages for 0.025 and 0.021 index
changes are calculated as 4.25 V and 3.6 V, respectively. Owing to the small footprint,
the device capacitance is very small: 02 0 82 fF EOP
ad
EOP
C R W .
H
. The RC time
constant limited modulation speed is as large as ~ 190 GHz, assuming that the device
resistance is 1500 Ω. In addition, the modulation speed limited by the cavity photon
lifetime is larger than 300 GHz in our design. When operating under a driving voltage
of 3.6 V and a modulation speed of 100 GHz, the power consumption is about 0.5
mW and the corresponding average power consumption is ~ 5 fJ/bit.
Figure 5.21. Simulated transmission spectra of the hybrid plasmonic microring modulator
when the refractive index of EO polymer is 1.65 (ΔnP = 0) and 1.625 (ΔnP = 0.025).
5.4.3 Discussion of fabrication feasibility
We discuss the fabrication feasibility of the proposed device in this paragraph. The
envisioned fabrication processes (similar to the processes in Ref. [5]) are shown in Fig.
5.22. There are mainly following steps. (a) The top Si layer of SOI sample is treated by
ion implantation; (b) the ring and waveguide patterns are defined by EBL and ICP
etching; (c) An EBL and a liftoff process help to define the bottom contact; (d) EO
polymer (dissolved in solvent) is spun on the sample and planarize the surface. The
thickness can be controlled by a combination of adjusting the spinning recipe and an
etching back process. (e) Top metal cap (also serves as a top contact) is defined by EBL
and liftoff processes. A thin layer of high-k insulator might be deposited before the top
contact deposition to further enhance the polymer’s breakdown voltage. We note that
the polymer should be poled by applying high electric field before the device functions.
Chapter 5. Ultracompact Plasmonic Components
62
Figure 5.22. Main steps of the envisioned fabrication processes.
5.5. Nanodisks based on layered metal-dielectric waveguide
In this section, we propose a type of plasmonic waveguides with an ultracompact light
confinement and evaluate the nanodisk cavities based on such waveguides [paper E].
Firstly, we review the strongly confined cavities. Then the guiding principle of the
proposed waveguides is explained. Whispering gallery mode cavities based on the
proposed devices are systematically studied afterwards.
5.5.1 Strongly confined cavities: an overview
Photonic cavities with high Q and small volume V are very useful for lasing, sensing,
switching and other applications [18-19]. As discussed in section 5.3, enhancement of
Purcell factor which is defined by Eq. 5.6 is of particular interest. Since conventional
dielectric cavities have mode volume generally larger than cubic effective wavelength
(λ/n)3 due to the diffraction limit, metallic cavities are promising to pursue even
stronger light-matter interactions. Among the various demonstrations,
metal-dielectric-metal (MDM) cavities have very compact mode when the slot layer is
thin [45-47]. Ref [48] shows that disk cavity radius can be shrunk down to 88 nm
which is about λ0/5n (where n is the slot index) when the slot thickness is 10 nm.
Cavities based on hyperbolic metamaterial also show very compact size due to the
extraordinary dispersion property [49-50]. Cavity size down to λ/12 [50] has been
achieved, and light-matter interaction can be enhanced using hyperbolic metamaterial
as reported in [51].
5.5.2 Guiding principle of layered metal-dielectric waveguides
Fig. 5.23(a) shows a sketch of the proposed layered metal-dielectric waveguide with
two dielectric layers (LMD2). In general, LMDN (N=1, 2 …) consists of N+1 metal
layers with N sandwiched dielectric layers. The waveguide has similar geometry as a
hyperbolic metamaterial waveguide, but the guiding principle resembles
dielectric-metal-dielectric (DMD) waveguide and MDM waveguide. For the 1D LMD2
waveguide (propagating along x+) as shown in Fig. 5.23(a), there are two fundamental
TM modes i.e. even (TM1) and odd (TM2) modes, and the field profiles are shown in
Fig. 5.23(b). One can see that Ez field is symmetric for TM1 while anti-symmetric for
TM2. Effective refractive indices of LMD2 as functions of dielectric and metal
Chapter 5. Ultracompact Plasmonic Components
63
thicknesses (h2 and hM) are then calculated, as shown in Fig. 5.23(c)-(d). Here, 𝑒+ and
𝑒− denote the effective indices of TM1 and TM2, respectively; 𝑒 is the effective index
of a LMD1 waveguide which is composed of two metal layers (hM thick) sandwiching a
dielectric (h2 thick) slot. One can see from Fig. 5.23(c)-(d) that 2 𝑒 = 𝑒+ + 𝑒
− .
Actually, a LMD2 can be regarded as two coupled LMD1, and the LMD1 modes that can
symmetrically or anti-symmetrically couple with each other, resulting in even and odd
modes of LMD2. One can see from Fig. 5.23(c) that when the metal layer is thin,
because more evanescent coupling between adjacent LMD1 waveguides occurs, a
larger energy splitting (i.e. the difference between 𝑒+ and 𝑒
−) appears; while when
the metal is thick enough (> 100nm), 𝑒+ and 𝑒
− are not dependent on the metal
thickness any longer, because no coupling occurs and the LMD2 degenerates into an
ordinary MDM waveguide. Fig. 5.23(d) studies the influence of dielectric thickness h2.
Effective index 𝐷 of an ordinary MDM (with 100 nm thick metal layer) is also
studied as a comparison. We see that 𝑒− and 𝑒
+ increase simultaneously when
decreasing h2, which is similar to 𝐷 of a MDM, i.e. a thinner dielectric layer
enables better confinement. Comparing with MDM, odd mode of LMD2 has a
significantly larger effective index for a given dielectric thickness, suggesting a much
stronger mode confinement.
Figure 5.23. (a) Schematic diagram of a 1D LMD2 waveguide. The propagation direction is
along x+. (b) Ez field distributions of even (TM1) and odd (TM2) modes supported by the 1D
LMD2 waveguide. (c) Effective indices as functions of metal thickness. Here, h2 = 50 nm. (d)
Effective indices as functions of dielectric thicknesses. For LMDN (N=1, 2), hM=10 nm; for
MDM waveguide, metal thickness is 100 nm.
5.5.3 Nanodisk resonator based on the proposed waveguide
In the following paragraphs, the disk cavities based on LMD2 waveguide are studied
using 3D-FDTD. Fig. 5.24(a) shows the 3D schematic diagram of the cavity. R is the
disk radius. We choose h2 = 50 nm and hM = 10 nm in the following analysis to explain
the principle. The conclusions can be extended to waveguides with different parameters.
Referring to the analysis in previous section, the disk can support two fundamental
quasi-TM modes, i.e. even (TM1) and odd (TM2) modes. Electric field profiles of a
fundamental TM1 whispering gallery mode (WGM) with an azimuthal number m = 3
are shown in Fig. 5.24(b) and (c), and the disk radius is 203 nm for a resonance at 1550
nm. Field profiles of a TM2 WGM mode are shown in Fig. 5.24(d) and (e), and the disk
Chapter 5. Ultracompact Plasmonic Components
64
radius is 142 nm, much smaller than the disk radius for TM1 mode, owing to its more
compact mode confinement.
Figure 5.24. (a) Sketch of a disk cavity based on LMD2 waveguide. Field distributions of a
TM1 resonant mode along ϕr (b) and zr (c) planes. (d) and (e) are field profiles of a TM2
resonant mode along ϕr and zr planes, respectively.
Then, we systematically analyze the Q-factors, mode volume V and Purcell factor F
of the LMD2 cavities as functions of disk radii. Nanodisks based on ordinary MDM
waveguide with a dielectric thickness of 2h2 = 100 nm are also investigated as a
comparison. In the following study, Drude model for silver in MDM has parameters
fitted by Johnson and Christy data (see section 2.1); while we model the 10 nm thick
silver films in LMD2 with the experimental data from [52], considering that the quality
of thin metal layer is worse than bulk material. A low temperature at 40 K is assumed
similarly as in [48], and the permittivity as function of temperature is modelled using
the methods described in [48, 53].
Table 5.1. Selected radii for 1550 ± 20 nm resonances with
an azimuthal number of m (unit: nm).
m 1 2 3 4 5 6 7
MDM NA 197 273 343 415 482 547
TM1 LMD2 86 146 203 256 309 362 NA
TM2 LMD2 61 103 142 180 218 254 NA
Table 5.1 lists out the selected disk radii for resonances at 1550 ± 20 nm with
azimuthal number m from 1 to 7. One can see that for a given m, the radius of disk based
on TM2 mode of LMD2 waveguide is the smallest, suggesting that the waveguide has
the most compact light confinement; while the MDM disk has the largest radii due to
the weakest confinement. One can also see the confinement strength from the field
profiles of the whispering gallery modes supported by MDM disk, TM1 LMD2 disk and
TM2 LMD2 disk, as shown in Fig. 5.25(a). Here, the profiles along the cross-sectional
half plane (r+) are presented and the azimuthal number is 2. Then we quantitatively
study Q, V, and F of these three disk cavities using the definitions given by Eq. 5.5-5.7.
The obtained merits as functions of azimuthal numbers are shown in Fig. 5.25(b)-(d).
We note that the intrinsic Q-factor of a metallic cavity is determined by the
radiation-related (Qrad) and absorption-related (Qabs) Q-factors together (see Eq. 5.8).
We can see from Fig. 5.25(b) and (c) that intrinsic Q-factors of MDM cavity (QMDM)
and TM1 LMD2 cavity (QN=2
TM1) increase with the azimuthal number at first, following
Chapter 5. Ultracompact Plasmonic Components
65
the tendency of Qrad., then maintain constant, similarly to the hybrid plasmonic
resonators previously discussed (see section 5.3). However, for the TM2 LMD2 disk,
Q almost keeps constant when m increases from 1 to 6 as shown in Fig. 5.25(c), owing
to the fact that Qrad is much larger than Qabs even for m = 1 and R = 61 nm. In Fig.
5.25(b)-(c), V as functions of m are also shown. One can see that when increasing m (as
well as R), V also increases continuously. For m = 2, 𝑉𝑁=2 1~
1
3𝑉 𝐷 and
𝑉𝑁=2 2~
1
8𝑉 𝐷 , meaning that a much more compact mode volume can be achieved in
LMD2 nanodisks. Purcell factors F of these cavities are calculated as well, as shown in
Fig. 5.25(d). Maximal F achievable by MDM cavity is ~ 3500 with m = 4 and R = 343
nm; while maximal F in TM1 LMD2 disk is ~ 3870 with m = 2 and R = 146 nm. The
largest Purcell factor in TM2 LMD2 disk is F ~ 2.3×104, when m = 1 and R = 61 nm.
LMD2 cavity achieves a five times larger Purcell factor compared to the ordinary MDM
nanodisk. We note that in principle, the performance of LMD2 cavity can be further
improved by optimizing the quality of thin metal films.
Figure 5.25. (a) Field profiles along zr cross-sectional half plane (r+) for the resonant modes
supported by MDM disk, TM1 LMD2 disk and TM2 LMD2 disk. (b) Intrinsic Q-factor Q and
normalized mode volume V of MDM disk as functions of the azimuthal number m. (c) Q and
V as functions of m for the TM1 LMD2 disk and TM2 LMD2 disk. (d) Comparison of Purcell
factors F.
We also study systematically the influence of metal and dielectric thicknesses on the
LMD2 cavities’ performances (intrinsic Q-factor, mode volume and Purcell factor);
Qrad and Qabs are also calculated. We also show that for a fixed dielectric thickness (hD =
N×hN), nanodisk based on LMDN (N = 3, 4, …) waveguide can further be shrinked. As
an example, disk radius is around 33 nm ~ λ0/(13.5n) by utilizing LMD7 waveguide,
and the corresponding mode volume is ~ 0.005(λ0/(2n))3. More details can be found in
Chapter 5. Ultracompact Plasmonic Components
66
[paper E]. Compared with traditional metal-dielectric-metal cavity, the proposed
scheme has advantages including: (1) significant enhancement of confinement as well
as Purcell factor at a fixed dielectric thickness, (2) convenient vertically optical
pumping due to thin metal thickness, (3) design freedoms including metal thickness and
the number of layers and (4) cascading capability. Hence, we think the presented
structures may provide an alternative to pursue ultracompact light confinement, which
may find applications in strong light-matter interaction systems.
References
[1] A. Hardy and W. Streifer, “Coupled mode theory of parallel waveguides,” J.
Lightwave Technol.3(5), 1135–1146 (1985).
[2] M. Wu, Z. Han, and V. Van, "Conductor-gap-silicon plasmonic waveguides and
passive components at subwavelength scale," Opt. Express 18, 11728-11736
(2010).
[3] I. Goykhman, B. Desiatov, and U. Levy, “Experimental demonstration of locally
oxidized hybrid silicon-plasmonic waveguide,” Appl. Phys. Lett. 97(14), 141106
(2010).
[4] S. Zhu, T. Y. Liow, G. Q. Lo, and D. L. Kwong, "Silicon-based horizontal
nanoplasmonic slot waveguides for on-chip integration," Opt. Express 19,
8888-8902 (2011).
[5] Z. Wang, D. Dai, Y. Shi, G. Somesfalean, P. Holmstrom, L. Thylen, S. He, and L.
Wosinski, "Experimental Realization of a Low-loss Nano-scale Si Hybrid
Plasmonic Waveguide," in Optical Fiber Communication Conference/National
Fiber Optic Engineers Conference 2011, OSA Technical Digest (CD) (Optical
Society of America, 2011), paper JThA017.
[6] Z. Chen, T. Holmgaard, S. I. Bozhevolnyi, A. V. Krasavin, A. V. Zayats, L. Markey,
and A. Dereux, "Wavelength-selective directional coupling with dielectric-loaded
plasmonic waveguides," Opt. Lett. 34, 310-312 (2009).
[7] A. Yariv and P. Yeh., Photonics: Optical Electronics in Modern Communications,
6th ed. (Oxford University Press, New York, 2007).
[8] M. I. Hayee, M. C. Cardakli, A. B. Sahin, and A. E. Willner, "Doubling of
bandwidth utilization using two orthogonal polarizations and power unbalancing
in a polarization-division-multiplexing scheme,"IEEE Photon. Technol. Lett. 13,
881-883 (2001).
[9] D. Dai and S. He, "Analysis of the birefringence of a silicon-on-insulator rib
waveguide," Appl. Opt. 43, 1156-1161 (2004).
[10] E. Dulkeith, F. Xia, L. Schares, W. M. J. Green, Y. A. Vlasov, "Group index and
group velocity dispersion in silicon-on-insulator photonic wires," Opt. Express. 14,
3853-3863 (2006).
Chapter 5. Ultracompact Plasmonic Components
67
[11] W. Bogaerts, D. Taillaert, P. Dumon, D. Van Thourhout, R. Baets, and E. Pluk, "A
polarization-diversity wavelength duplexer circuit in silicon-on-insulator photonic
wires," Opt. Express 15, 1567-1578 (2007).
[12] H. Fukuda, K. Yamada, T. Tsuchizawa, T. Watanabe, H. Shinojima, and S.-i.
Itabashi, “Silicon photonic circuit with polarization diversity,” Opt. Express, vol.
16, pp. 4872–4880 (2008).
[13] J. P. Donnelly, H. A. Haus, and N. Whitaker, “Symmetric three-guide optical
coupler with nonidentical center and outside guides,” IEEE J. Quantum Electron.
23, 401-406 (1987).
[14] K. Saitoh, Y. Sato, and M. Koshiba, "Polarization splitter in three-core photonic
crystal fibers," Opt. Express 12, 3940-3946 (2004).
[15] J. Chee, S. Zhu, and G.Q. Lo, "CMOS compatible polarization splitter using
hybrid plasmonic waveguide," Opt. Express 20, 25345-25355 (2012).
[16] Z. Sheng, D. Dai, and S. He, “Comparative Study of Losses in Ultrasharp
Silicon-on-Insulator Nanowire Bends”, Journal of selected topics in quantum
electronics 15, 1406-1412, (2009).
[17] T. Tsuchizawa,T. Watanabe, E. Tamechika, T. Shoji, K. Yamada, J. Takahashi, S.
Uchiyama, S. Itabashi and H. Morita, "Fabrication and evaluation of
submicron-square Si wire waveguides with spot-size converters", Paper TuU2
presented at LEOS Annual Meeting, p.287, Glasgow, UK (2002).
[18] T. J. Kippenberg, S. M. Spillane, and K. J. Vahala, "Demonstration of ultra-high-Q
small mode volume toroid microcavities on a chip," Appl. Phys. Lett. 85,
6113-6115 (2004).
[19] K. J. Vahala, “Optical microcavities,” Nature 424, 839-846 (2003).
[20] T. Xu, M.S. Wheeler, H.E. Ruda, M. Mojahedi, and J.S. Aitchison, "The influence
of material absorption on the quality factor of photonic crystal cavities," Opt.
Express 17, 8343-8348 (2009).
[21] B. E. Little, S. T. Chu, H. A. Haus, J. Foresi, and J. -P. Laine, "Microring resonator
channel dropping filters," J. Lightwave Technol. 15, 998 (1997).
[22] W. Suh, Z. Wang, and S. Fan, “Temporal coupled-mode theory and the presence of
non orthogonal modes in lossless multimode cavities,” IEEE J. Quantum Electron.
40(10), 1511-1518 (2004).
[23] Y.-H. Kuo, Y. K. Lee, Y. Ge, S. Ren, J. E. Roth, T. I. Kamins, D. A. B. Miller, J.
S. Harris, "Strong quantum-confined Stark effect in germanium quantum-well
structures on silicon," Nature 437, 1334-1336 (2005).
[24] M. Liu, X. Yin, E. Ulin-Avila, B. Geng, T. Zentgraf, L. Ju, F. Wang, and X. Zhang,
“A graphene-based broadband optical modulator,” Nature474(7349), 64–67
(2011).
[25] A. Liu, R. Jones, L. Liao, D. Samara-Rubio, D. Rubin, O. Cohen, R. Nicolaescu,
Chapter 5. Ultracompact Plasmonic Components
68
M. Paniccia, “A high-speed silicon optical modulator based on a
metal-oxide-semiconductor capacitor,” Nature 427, 615-618 (2004).
[26] Q. Xu, B. Schmidt, S. Pradhan, M. Lipson, “Micrometre-scale silicon
electro-optic modulator,” Nature 435, 325-327 (2005).
[27] E. L. Wooten et al. "A review of lithium niobate modulators for fiber-optic
communications systems," IEEE J. Sel. Top. Quantum Electron. 6, 69-82 (2000).
[28] W.H. Steier, et.al, "Polymer electro-optic devices for integrated optics," Chem.
Phys., 245 (1999), pp. 487–506
[29] D. Chen, H. R. Fetterman, A. Chen, W. H. Steier, L. R. Dalton, W. Wang, and Y.
Shi, “Demonstration of 110 GHz electro-optic polymer modulators,” Appl. Phys.
Lett. 70(25), 3335–3337 (1997).
[30] R. A. Soref and B. R. Bennett, "Electrooptical effects in silicon," IEEE J. Quantum
Electron. 23, 123-129 (1987).
[31] G. T. Reed, G. Mashanovich, F. Y. Gardes, and D. J. Thomson, “Silicon optical
modulators,” Nat. Photonics 4(8), 518–526 (2010).
[32] L. R. Dalton, B. Robinson, A. Jen, P. Ried, B. Eichinger, P. Sullivan, A. Akelaitis,
D. Bale, M. Haller, J. Luo, “Electro-optic coefficients of 500 pm/V and beyond for
organic materials,” Proc. SPIE 5935, 5935061-1, (2005).
[33] Y. Y. Lin, S. T. Lin, G. W. Chang, A. C. Chiang, Y. C. Huang, and Y. H. Chen,
"Electro-optic periodically poled lithium niobate Bragg modulator as a laser
Q-switch," Opt. Lett. 32, 545-547 (2007).
[34] L. Thylén, P. Holmström, L. Wosinski, B. Jaskorzynska, M. Naruse, T. Kawazoe,
M. Ohtsu, M. Yan, M. Fiorentino, U. Westergren, "Nanophotonics for Low-Power
Switches," in Optical Fiber Telecommunications VI (Chapter 6), Ed. Ivan
Kaminow, Tingye Li and Alan Willner, Elsevier, 2013, ISBN: 9780123969583.
[35] D. Chen, H. R. Fetterman, A. Chen, W. H. Steier, L. R. Dalton, W. Wang, and Y.
Shi, “Demonstration of 110 GHz electro-optic polymer modulators,” Appl. Phys.
Lett. 70(25), 3335–3337 (1997).
[36] J.-M. Brosi, C. Koos, L.C. Andreani, M. Waldow, J. Leuthold, and W. Freude,
"High-speed low-voltage electro-optic modulator with a polymer-infiltrated
silicon photonic crystal waveguide," Opt. Express 16, 4177-4191 (2008) .
[37] L. Alloatti, D. Korn, R. Palmer, D. Hillerkuss, J. Li, A. Barklund, R. Dinu, J.
Wieland, M. Fournier, J. Fedeli, H. Yu, W. Bogaerts, P. Dumon, R. Baets, C. Koos,
W. Freude, and J. Leuthold, “42.7 Gbit/s electro-optic modulator in silicon
technology,” Opt. Express 19, 11841-11851 (2011).
[38] W. Cai, J. S. White, and M. L. Brongersma, “Compact, high-speed and
power-efficient electrooptic plasmonic modulators,” Nano Lett. 9(12), 4403-4411
(2009).
Chapter 5. Ultracompact Plasmonic Components
69
[39] A. Melikyan, L. Alloatti, A. Muslija, D. Hillerkuss, P. C. Schindler, J. Li, R.
Palmer, D. Korn, S. Muehlbrandt, D. Van Thourhout, B. Chen, R. Dinu, M.
Sommer, C. Koos, M. Kohl, W. Freude and J. Leuthold, "High-speed plasmonic
phase modulators," Nature Photonics 8, 229–233 (2014).
[40] W. M. Green, M.J. Rooks, L. Sekaric, and Y.A. Vlasov, "Ultra-compact, low RF
power, 10 Gb/s silicon Mach-Zehnder modulator," Opt. Express 15, 17106-17113
(2007).
[41] Q. Xu, S. Manipatruni, B. Schmidt, J. Shakya, and M. Lipson, "12.5 Gbit/s
carrier-injection-based silicon micro-ring silicon modulators," Opt. Express 15,
430-436 (2007).
[42] X. Xiao, H. Xu, X. Li, Y. Hu, K. Xiong, Z. Li, T. Chu, Y. Yu, and J. Yu, “25 Gbit/s
silicon microring modulator based on misalignment-tolerant interleaved PN
junctions,” Opt. Express 20, 2507-2515 (2012).
[43] H. M. G. Wassel, D. Dai, M. Tiwari, J. K. Valamehr, L. Theogarajan, J. Dionne, F.
T. Chong, and T. Sherwood, “Opportunities and Challenges of Using Plasmonic
Components in Nanophotonic Architectures,” IEEE Journal on Emerging and
Selected Topics in Circuits and Systems 2, 154-168 (2012).
[44] K. Padmaraju, J. Chan, L. Chen, M. Lipson, and K. Bergman, “Dynamic
Stabilization of a Microring Modulator Under Thermal Perturbation,” Proc.
Optical Fiber Communication Conference (Optical Society of America, 2012),
paper OW4F.2.
[45] M. Kuttge, F. J. García de Abajo, and A. Polman, “Ultrasmall mode volume
plasmonic nanodisk resonators,” Nano Lett. 10(5), 1537–1541 (2010).
[46] H. T. Miyazaki and Y. Kurokawa, “Squeezing Visible Light Waves into a
3-nm-Thick and 55-nm-Long Plasmon Cavity,” Phys. Rev. Lett. 96,
097401-097404 (2006).
[47] M. Khajavikhan, A. Simic, M. Katz, J. H. Lee, B. Slutsky, A. Mizrahi, V. Lomakin,
and Y. Fainman, “Thresholdless nanoscale coaxial lasers,” Nature 482(7384),
204–207 (2012).
[48] S.-H. Kwon, “Deep subwavelength plasmonic whispering gallery-mode cavity,”
Opt. Express 20, 24 918-924 (2012).
[49] X. Yang, J. Yao, J. Rho, X. Yin, and X. Zhang, “Experimental realization of
three-dimensional indefinite cavities at the nanoscale with anomalous scaling
laws,” Nature Photonics 6, 450 (2012).
[50] Y. He, S. He, J. Gao, and X. Yang, “Nanoscale metamaterial optical waveguides
with ultrahigh refractive indices,” J. Opt. Soc. Am. B 29(9), 2559–2566 (2012).
[51] H. N. S. Krishnamoorthy, Z. Jacob, E. Narimanov, I. Kretzschmar, and V. M.
Menon, “Topological Transitions in Metamaterials,” Science 336(6078), 205–209
(2012).
Chapter 5. Ultracompact Plasmonic Components
70
[52] W. Chen, M. D. Thoreson, S. Ishii, A. V. Kildishev, and V. M. Shalaev, “Ultra-thin
ultra-smooth and low-loss silver films on a germanium wetting layer,” Opt.
Express 18, 5124-5134 (2010).
[53] S.-H. Kwon, J.-H. Kang, C. Seassal, S.-K. Kim, P. Regreny, Y.-H. Lee, C. M.
Lieber, and H.-G. Park, “Subwavelength plasmonic lasing from a semiconductor
nanodisk with silver nanopan cavity,” Nano Lett. 10(9), 3679–3683 (2010).
71
Chapter 6
Conclusions and Future Work
6.1 Conclusions
In summary, this thesis presents the results on design, fabrication and characterization
of several plasmonic components based on silicon nanowire platforms.
Plasmonics has been proposed as a next-generation technology since it can
potentially bridge the size mismatch between photonic and electronic components. We
have derived plasma models including Drude model and Drude-Lorentz model to
describe the optical properties of metals, and calculated the dispersion of surface
plasmon polaritons supported by a basic metal-dielectric interface. From a comparison
with a traditional waveguide, the diffraction-free confinement in a plasmonic
waveguide is demonstrated.
In order to study sophisticated photonic/plasmonic components, two numerical
methods i.e. FDTD and FEM are implemented in the thesis. FDTD can solve lightwave
propagation behaviors. A 2D directional coupler (simplified from 3D by equivalent
index method) and a 3D photonic crystal cavity are explained as examples. FEM is
primarily used as mode solvers and solvers for multiphysics problems. Simulation of
thermo optic effect of a Si waveguide integrated with a micro heater is explained.
Fabrication and characterization techniques of the photonic/plasmonic components
are reviewed and discussed. PECVD and ebeam evaporation are optimized to deposit
a-Si:H, SiO2 and metals, providing extra flexibility of waveguide design. Ebeam
lithography is applied to obtain high-resolution patterns, and ICP-RIE transfers the
patterns from resists to substrate with high aspect ratio and vertical sidewalls. For the
device characterizations, grating couplers are easy to implement, while butt coupling
has ultra-wide bandwidth. Direct measurement is useful to characterize propagation
loss and splitting ratios with high accuracy. Optical pump system is suitable for
measuring the spontaneous emission from III-V materials.
Experimental results of a tunable silicon-on-insulator microdisk assisted by perfect
absorbers and III-V pedestal microdisks and photonic crystal cavities are firstly
presented. Then plasmonic devices are discussed in details.
Hybrid plasmonic directional couplers with various coupling gaps are
experimentally demonstrated. Splitting ratios ranging from ~ 100:0 to 50: 50 to 0:100
have been achieved. The coupling lengths for couplers with gaps of 140 nm, 185 nm,
235 nm and 290 nm are measured as 1.55 µm, 2.2 µm, 3.2 µm and 4.8 µm, respectively.
As a basic building block of photonic integrated circuit, the demonstrated directional
Chapter 6. Conclusions and Future Work
72
couples can be used for splitting, modulation, etc.
Based on a Si-hybrid-plasmonic-Si three core coupler, an ultra-compact
polarization beam splitter (PBS) has been proposed. PBS is essential component in a
polarization diversity system. The basic operational principle of the proposed device
is to utilize the hybrid plasmonic waveguide as a polarization-selective tunnel. Due to
the compactness of HP waveguide, the device size can be shrinked down to 2×5.1 μm2.
Studies of fabrication tolerance show that the device has overall insertion loss below
1.5 dB and extinction ratio above 12 dB in the entire C-band.
We also investigate ultrasharp bends and submicron-radius resonators based on
hybrid plasmonic waveguides. Compared with traditional SOI 90o bend, HP bend
features significantly decreased losses when the radius is below 1 μm, and Purcell
factor of a HP resonator is much larger, suggesting a significantly enhanced
spontaneous emission. We then experimentally demonstrate hybrid plasmonic disk,
ring and donut resonators with radii of ~ 0.5 μm and 1 μm. It’s shown that HP donut
resonator is the best solution when looking on the tradeoff between high Q factors and
single mode operation. HP disk with 0.5 micron radius has a Q-factor of 90 ± 30 at
1625 nm, and a corresponding Purcell factor of 127 ± 42. Thermal tuning of the device
is achieved, and the derived thermo optic coefficient of a-Si:H is ~ 2.45×10-4
/K.
We have proposed an ultracompact EO polymer modulator based on HP microring
resonator (radius ~ 540 nm) with a dielectric access waveguide. Comparing with Si slot
microring modulators, the proposed device has a better performance in the submicron
radius range. We show that the cavity-photon-lifetime limited modulation speed is as
large as 300 GHz, while the resistance-capacitance limited speed is above 190 GHz.
The driving voltage is 3.6V to achieve optical modulation amplitude of 0.8. The
device’s insertion loss is about 1 dB, and the power consumption is about 5 fJ/bit when
operating at a speed of 100 GHz.
At last, we propose a layered metal-dielectric (LMD) waveguide for tightly confined
system. Radii of nanodisks based on the proposed waveguide can be decreased below
60 nm. The mode volume and the corresponding Purcell factor are also analyzed.
Plasmonic active devices based on microring resonators in form of switches, routers
and modulators have been proposed by many authors as main building blocks for future
inter- and intra-chip optical communication systems that will replace present electrical
interconnects. We have shown here that the technology based on hybrid plasmonic
waveguiding allows not only to match better the size of optical components with
electronic ones, but also to get superior parameters in comparison to those based on
photonic slot waveguides. Our ring, donut and disk resonators with radii of 500 nm are
the smallest to date fabricated components of this type. We have shown that their
quality factor Q can be 8.5 times larger than Q of similar silicon slot resonator. When
comparing figures of merit characterizing modulation efficiency of modulators based
on these structures, FOM of our hybrid plasmonic microring is 6 times better than this
of corresponding silicon slot structure, both using same EO polymers as active
medium.
Chapter 6. Conclusions and Future Work
73
6.2 Future work
We propose future continuation of this work in the following areas:
(1) Optimization of the metal film quality. There are reports that by annealing the
ebeam evaporated gold layer under proper temperature, the film quality can be
improved and the propagation loss can be decreased. We think this may provide an
effective way to further decrease the waveguide propagation loss and improve the
quality-factors of the hybrid plasmonic resonators.
(2) Optimization of a-Si:H etching. We believe that the tilting angle and sidewall
roughness of the etched a-Si:H waveguide significantly deteriorate the overall device
performance. In ICP-RIE process, a series of tests of the combination of gas mixture,
RF powers, pressure need to be carried out to further optimize the etching recipes in
order to obtain vertical and smooth sidewalls.
(3) The coupling regions of the submicron resonators can be further optimized to
achieve a better phase matching condition, in order to further decrease the cavity loss.
(4) Experimental demonstration of an EO polymer hybrid plasmonic modulator.
There are on-going efforts towards this end. The challenges include efficient poling of
the electro-optic polymer, low-temperature deposition of a-Si:H, etc.
(5) Applying the hybrid plasmonic components in a light-matter interaction system
to exploit the light enhancement in the slot layer. One example is to incorporate gain
medium (e.g. quantum dots) in the slot material. Efficient gain can potentially
compensate the plasmonic loss and might result in lasing. Another example is to use
nonlinear polymers for optical nonlinear processes, such as second harmonic
generation, wavelength conversion, optical bistability, etc. It’s also interesting to use
the components in biosensors for lab-on-chip applications, considering their compact
size and enhanced light-matter interaction.
74
Chapter 7. Guide to Appended Papers
75
Chapter 7
Guide to Appended Papers
Paper A. Fei Lou, Zhechao Wang, Daoxin Dai, Lars Thylen, and Lech Wosinski,
“Experimental demonstration of ultra-compact directional couplers based on silicon
hybrid plasmonic waveguides,” Applied Physics Letters, 100(24), 241105 (2012).
Contribution: Design, simulation, fabrication, characterization and analysis;
major part of writing.
Paper B. Fei Lou, Daoxin Dai, and Lech Wosinski, "Ultracompact polarization beam
splitter based on a dielectric–hybrid plasmonic–dielectric coupler," Optics Letters,
37(16), 3372-3374 (2012).
Contribution: Design, simulation and analysis; major part of writing.
Paper C. Fei Lou, Lars Thylen, and Lech Wosinski, “Experimental demonstration of
silicon-based metallic whispering gallery mode disk resonators and their
thermo-tuning,” Optical Fiber Communication Conference/National Fiber Optic
Engineers Conference (OFC/NFOEC), paper Tu2E.1, (2014).
Contribution: Design, simulation, fabrication, characterization and analysis;
major part of writing.
Paper D. Fei Lou, Daoxin Dai, Lars Thylen, and Lech Wosinski, “Design and analysis
of ultra-compact E-O polymer modulators based on hybrid plasmonic microring
resonators,” Opt. Express 21, 20041-20051 (2013).
Contribution: Design, simulation and analysis; major part of writing.
Paper E. Fei Lou, Min Yan, Lars Thylen, Min Qiu, and Lech Wosinski, “Whispering
gallery mode nanodisk resonator based on layered metal-dielectric waveguide,”
accepted by Opt. Express, (2014).
Contribution: Design, simulation and analysis; major part of writing.
Paper F. Fei Lou, Lars Thylen, and Lech Wosinski, “Ultra-sharp bends based on hybrid
Chapter 7. Guide to Appended Papers
76
plasmonic waveguides,” manuscript, (2014).
Contribution: Design, simulation, and analysis; major part of writing.